Jie
Cen
a,
Zhengyu
Deng
*bcd and
Shiyong
Liu
*a
aDepartment of Pharmacy, The First Affiliated Hospital of University of Science and Technology of China, Laboratory of Precision and Intelligent Chemistry, Department of Polymer Science and Engineering, University of Science and Technology of China, Hefei 230026, Anhui Province, China. E-mail: sliu@ustc.edu.cn
bDepartment of Polymer Science and Engineering, School of Chemistry and Materials Science, University of Science and Technology of China, Hefei 230026, Anhui Province, China. E-mail: zydeng@ustc.edu.cn
cSchool of Biomedical Engineering, Division of Life Sciences and Medicine, University of Science and Technology of China, Hefei 230026, Anhui Province, China
dSuzhou Institute for Advanced Research, University of Science and Technology of China, Suzhou 215123, Jiangsu Province, China
First published on 15th October 2024
With the demand for increasingly smaller feature sizes, extreme ultraviolet (EUV) lithography has become the cutting-edge technology for fabricating highly miniaturized integrated circuits. However, the limited brightness of the EUV light source, the distinct exposure mechanism, and the high resolution required for patterns pose significant challenges for resist materials—particularly for conventional polymeric resists, which often suffer from low EUV absorption, high molecular weight, and nonhomogeneous composition. In this review, we focus on polymer resists for EUV lithography and offer our perspectives on recent exciting advances in the polymer chemistry of these resists. For example, in recent years, there has been significant progress in incorporating high EUV-absorbing moieties and photosensitizers into resists to enhance EUV absorbance and quantum efficiency. In addition, advancements have been made in developing single-component chemically amplified resists (CARs) with covalently attached photoacid generators (PAGs), as well as main-chain scission-type resists. Furthermore, the creation of precision oligomeric resists with precisely defined primary sequences and discrete molecular weights has opened new possibilities for EUV resist design. Lastly, we provide a critical outlook on the future opportunities and challenges in the development of EUV resists.
It is worth noting that the transition from 193 nm to EUV lithography introduces significant challenges for patterning materials.4–6 EUV radiation, with its high-energy photons (∼92 eV), fundamentally changes the exposure mechanism from selective photoabsorption to indiscriminate ionization of all resist components.7,8 In addition, the intrinsic dimness of the EUV light source necessitates the use of highly sensitive resists to ensure high-throughput fabrication.9–11 Polymer-based chemically amplified resists (CARs),12 which have revolutionized the patterning materials for DUV and 193 nm lithography, were initially considered as promising platforms for EUV lithography.4 However, CARs encounter an inherent trade-off between resolution, line edge roughness (LER), and sensitivity (RLS), primarily due to the diffusion behavior of photoacids and the photoacid-involved chemical amplification mechanism.13,14 Furthermore, high EUV absorption in thinner resist films is needed due to the significantly lower photon density in EUV lithography compared to 193 nm lithography with the same dosage.9,10 To overcome these challenges, extensive research has focused on developing novel patterning materials, including single-component CARs, self-immolative resists, and non-chemically amplified resists.4–6
In this contribution to celebrate the 15th Anniversary of Polymer Chemistry, we focus on the evolution and recent advancements in polymeric resists for EUV lithography (Fig. 1). For a more comprehensive understanding of EUV resists, including molecular glasses and metal oxide resists, the readers are directed to excellent review articles that cover their design and patterning performance.2,4–6,15–18 Here, we will provide a brief overview of the historical evolution of polymeric resists for photolithography and then provide our perspectives on recent developments in the polymer chemistry of EUV resists by highlighting selected examples. For instance, recent years have seen the incorporation of high EUV-absorbing elements and photosensitizers into resists to enhance EUV absorbance and quantum efficiency. Progress has also been made with single-component CARs, where photoacid generators (PAGs) are covalently attached, as well as with main-chain scission-type resists. In addition, the development of precision oligomeric resists with precisely defined primary sequences and discrete molecular weights has opened new avenues in resist design. Finally, we present a critical outlook on the future opportunities and challenges in the development of EUV resists, emphasizing the ongoing need for innovation to meet the demands of next-generation semiconductor manufacturing.
Resist performance is assessed by several factors, such as optical absorbance, resolution, LER, line width roughness (LWR), sensitivity, outgassing, cross-section profile, defectivity control, and etch resistance.2 To keep pace with Moore's law, resist materials have evolved considerably to achieve high overall performance needed for increasingly smaller features.4–6 In the following sections, the evolution of polymeric resists will be briefly discussed, which can offer valuable insights for designing novel EUV resists.4,20
The development of polymeric resists for photolithography can be traced back to the use of dichromated gelatin (DCG) in imaging applications.20 In the mid-19th century, Mungo Ponton discovered that dichromate salts could harden organic materials like gelatin (i.e., by cross-linking) upon exposure to light, laying the foundation of DCG.20 Following this, Fox Talbot, an English inventor expanded the use of DCG to produce printing plates, and DCG remains widely used in holographic applications today.20 However, the low etch resistance of protein-based DCG against hydrofluoric acid (HF) and poor shelf-life prevented its use in the fabrication of integrated circuits.20
Subsequently, poly(vinyl cinnamate), developed by Louis Minsk at Kodak Research, stood out due to its light sensitivity, excellent shelf-life, and enhanced etch resistance.21 This polymer was synthesized via post-polymerization esterification of poly(vinyl alcohol) with cinnamoyl chloride and photo-induced [2 + 2] cycloaddition of cinnamate moieties, which resulted in cross-linking reactions. However, low adhesion to SiO2 substrates hindered its further exploration as a practical resist for the semiconductor industry. To tackle this adhesion issue, Kodak invented a photosensitized adhesive known as Kodak Thin Film Resist (KTFR; Fig. 3a).22 In its original form, the KTFR resist combined photoactive bis-aryl azide with a pretreated synthetic rubber that had a lower degree of unsaturation, providing high storage stability. Upon exposure to light, the bis-aryl azide component generates highly reactive nitrene intermediates, which cross-link the rubber, making it insoluble. This groundbreaking KTFR material proved highly effective as a negative tone resist and quickly achieved commercial success in the fabrication of integrated circuits. It should be noted that in the commercial version of KTFR, the rubber component was replaced by cyclized poly(cis-isoprene), allowing for fine-tuning of viscosity and film thickness.22
Due to the broad photoabsorption of the bis-aryl azide component, KTFR could act as a G-line, I-line, or broadband photoresist. However, the evident swelling of cross-linked rubbers during the development step, when using a non-polar solvent, hindered further improvements in the resolution, limiting it to around 2 μm.22 This limitation made it imperative to develop a new patterning material that could work at shorter wavelengths, generate smaller features, and rely on a solvent that would not cause swelling in the insoluble regions. To this end, the next generation of photoresists emerged, consisting of a cresol Novolac polymer and photosensitive diazonaphthoquinone (DNQ), which could be developed using an aqueous base.22,23 In this two-component formulation, uncross-linked Novolac, which contains many phenolic groups, is combined with DNQ, making the Novolac insoluble in the aqueous base. Thus, DNQ acts as a dissolution inhibitor. Upon exposure to UV light (I-line), DNQ undergoes a Wolff rearrangement, forming an indenecarboxylic acid (Fig. 3b). This acidic product is more transparent to the imaging wavelength in a process known as photobleaching. The indenecarboxylic acid acts as a dissolution accelerator, creating regions that are highly soluble in the aqueous base when combined with Novolac. This resist system was soon adopted by industry to fabricate early sub-micron devices. It is important to note that a by-product of the photoreactions for both bis-aryl azide and DNQ is nitrogen gas (N2), which outgasses from the resist film during exposure, making it less than ideal for high resolution lithography.4
To advance the fabrication of smaller nanostructures, a transition from I-line to DUV lithography became necessary. Concurrently, reactive ion etching (RIE) was adopted to replace traditional etching methods using aqueous acids like HF.24 It was also established that aromatic polymers with higher carbon-to-hydrogen (C/H) content ratios demonstrated high resistance to RIE when compared to aliphatic polymers.25 From this perspective, the aromatic nature of the Novolac/DNQ resist was suitable for RIE, but incompatible with DUV wavelengths (248 nm) due to strong absorbance below 300 nm. In addition, the DUV light source used in exposure tools was relatively dim, necessitating a highly sensitive resist system. Toward this goal, CARs were developed by Willson, Frechet, and Ito in the early 1980s, providing the required transparency and sensitivity for DUV lithography.12,26 The first generation of CARs utilized tert-butoxycarbonyloxy (t-BOC)-protected poly(p-hydroxystyrene) (PHS), an isomer of Novolac, along with a newly invented PAG (Fig. 4a).12 Upon exposure to DUV radiation, the PAG decomposes to generate an acid, which catalyzes the deprotection of the t-BOC group, releasing CO2 and isobutene during the PEB step. This process induces a solubility switch, rendering the exposed resist soluble in an aqueous base. Crucially, the proton is regenerated during the deprotection reaction, allowing it to catalyze the subsequent reactions. This proton regeneration leads to chemical amplification, meaning a single photon of light can be exploited to uncover multiple phenolic groups, thereby ensuring a low exposure dose only is required to actuate these cascade events.12
Fig. 4 (a) Representative polymer system as a prototype CAR with photoacid-catalyzed deprotection of the t-BOC group and (b) the ESCAP platform to address the T-topping issue. |
Subsequently, to address the T-topping issue in first-generation CARs caused by airborne base contamination (e.g., N-methyl pyrrolidone, NMP) in manufacturing environments, an environmentally stable chemically amplified photoresist (ESCAP) platform was developed (Fig. 4b).27,28 This platform was based on a copolymer of tert-butyl acrylate and p-hydroxystyrene.27 The inclusion of acrylate in the resist matrix reduced NMP solubility and lowered the glass transition temperature (Tg). In addition, the enhanced thermal stability of ester groups compared to carbonate groups allowed for prebaking and annealing above Tg, while maintaining the thermal inertness of the protection groups. This process further lowered the permeance of basic contaminants, thereby mitigating the T-topping issue.
With the shift from DUV to 193 nm lithography to continue adhering to Moore's law, new CAR platforms were required to replace the styrenic versions used for DUV lithography, as the phenyl ring absorbs strongly at 193 nm.29 An early candidate for 193 nm resists drew inspiration from the aliphatic poly(methyl methacrylate) (PMMA) resist used in electron beam lithography (Fig. 5a).29 However, the low etch resistance of these non-aromatic methacrylic terpolymers limited their further development. To achieve both good etch resistance and 193 nm transparency, a second generation of 193 nm resists was developed (Fig. 5b).30 These resists featured polynorbornenes as the backbone and alicyclic moieties (i.e., fused carbon rings) as side chains. While polynorbornene copolymers provided high etch resistance due to their high C/H ratios, they suffered from some drawbacks such as complicated synthesis, limited design flexibility, swelling during development, and reproducibility issues. The third generation of 193 nm resists, which is now widely used, features methacrylic backbones with pendant alicyclic groups (Fig. 5c).30 This design balances the need for transparency at 193 nm with improved etch resistance and practicality for high-volume manufacturing processes.
As the semiconductor industry progressed, 157 nm lithography emerged as a potential candidate for achieving smaller feature sizes. To ensure transparency at 157 nm for CAR platforms, electronegative fluorine atoms were incorporated to replace hydrogen atoms.29 In this context, hexafluoroalcohol (HFA) functionality proved exceptionally suitable, as it contains a hydrogen atom with acidity (pKa ∼ 10) comparable to that of a phenol group in PHS, enhancing solubility in aqueous base developers.29 However, due to the intrinsic birefringence of 157 nm lenses made from CaF2, 157 nm lithography was eventually abandoned.31 Following this, 193 nm immersion lithography was developed to enable smaller critical dimensions by increasing the effective NA in the imaging system.29 The success of immersion lithography allowed for an improvement in the ultimate resolution of optical systems by a factor of approximately 1.44 (nH2O/nair = 1.35/0.93 at 193 nm). However, the introduction of water as an immersion fluid presented challenges for resist materials, including the undesirable leaching of resist components into the water.29
To address this issue, a polymeric topcoat was employed to mitigate the extraction of leachable components from the underlying resist film.29 HFA-functionalized topcoats were particularly well-suited for this task, as they were highly transparent at 193 nm, relatively hydrophobic, and insoluble in neutral water (Fig. 6a). Moreover, these topcoats could be dissolved in an organic alcohol and then coated without affecting the resist film underneath. In addition, the intrinsic acidity of the HFA group made the topcoat soluble in the aqueous developer, perfectly aligning with the standard development steps. Eventually, the fluorinated polymer was further engineered into the self-topcoating material to allow direct blending with the CAR resist formulation (Fig. 6b).29 This innovation led to a self-segregation process during film casting, which simplified the coating process and improved the overall efficiency.
Fig. 6 Chemical structures of fluorinated polymers as (a) polymeric topcoat and (b) self-topcoating materials. |
Another critical consideration for EUV resists is their absorbance properties. While transparency is crucial for DUV and 193 nm lithography, high absorbance and sensitivity to EUV light are essential for EUV resists due to the lower power of EUV light sources. The higher energy of 13.5 nm EUV photons, compared to 193 nm photons, means fewer photons are available during exposure, necessitating thinner resists to avoid pattern collapse and achieve smaller feature sizes. Therefore, integrating elements with high EUV absorption cross-sections into photoresists has garnered considerable attention.6 For example, the incorporation of a specific metal sensitizer with high EUV absorbance could improve sensitivity by 17% without significantly impacting LWR or local critical dimension uniformity (LCDU).37 This improvement was attributed to enhanced secondary electron generation and subsequent photoacid production.38 Metal-incorporated resists also exhibit superior etch resistance, which is particularly advantageous. Apart from metal elements, non-metal elements such as fluorine can also be incorporated into CAR systems to enhance their EUV absorption efficiency.39 However, it was found the introduction of the fluorine element could interfere with photoacid generation by PAGs, reducing their effectiveness.39
In addition to optimizing the polymer matrix, other approaches have been explored to enhance CAR sensitivity for EUV lithography. Acid amplifiers,40–42 which can autocatalytically generate more acids in the presence of trace amounts of acid, as well as photosensitizer precursors, which enhance the photoacid yield of PAGs, have been proposed.43 The development of photosensitized CAR (PSCAR) exemplifies this strategy.43,44 PSCAR incorporates an additional photosensitizer precursor. Upon EUV exposure, the photosensitizer precursor is activated to liberate active photosensitizer, which can induce the generation of more photoacids during subsequent mid-UV flood exposure. The selective absorption of 365 nm UV light by an active photosensitizer significantly increases the acid content in the EUV exposed areas (Fig. 8). The effectiveness of PSCARs in improving EUV resist sensitivity while maintaining high resolution was successfully demonstrated, when blended with base quenchers. In their follow-up works, a new version of PSCAR (PSCAR 2.0) with an additional photo-decomposable base (PDB) quencher that can be photosensitized through mid-UV flood exposure along with photosensitization of PAGs was developed.45–47 During EUV pattern exposure, the PDB quenchers decomposed into inert products, while PAGs transformed into photoacids, which could activate the liberation of active photosensitizers in the exposed areas. Subsequently, increased generation of photoacids and decomposition of PDBs selectively occurred through photosensitization during mid-UV flood exposure, thus enhancing acid image contrast. As a result, the performance of PSCAR 2.0 was evaluated through stochastic simulation and exposure experiments with 16 nm dense line space patterns, suggesting enhanced sensitivity and high resolution.47 However, the presence of multiple components in PSCAR and PSCAR 2.0 is a possible limitation, which needs more efforts to improve.
Fig. 8 Typical workflow of PSCAR and the generation of photosensitizers during EUV exposure, which could selectively sensitize the liberation of photoacids in the subsequent 365 nm flood exposure. |
Despite these advances, polymeric resists still face limitations due to their high molecular weight, broad molecular weight distribution, heterogeneous composition, especially for copolymers, as well as large macromolecular size.48 These factors constrain the ultimate resolution and contribute to increased LER. To address these issues, Ober and colleagues developed new types of oligomeric CARs with precisely defined molecular weights and compositions based on peptide-mimicking peptoid chemistry (Fig. 9).49–53 Both an acid-sensitive solubility switching group (t-BOC or tert-butyl ester) as well as aromatic and aliphatic hydrophobic groups were precisely positioned to tailor polymer properties, allowing the control of both development conditions and lithographic performance in these oligomeric CARs. Typically, 24 nm half-pitch line space patterns were obtained using a peptoid with ten monomeric units for both electron beam and EUV lithography.53 Moreover, it was notably revealed that the sequence of monomeric units within the polymer matrixes, while keeping the exact same overall compositions, significantly influenced the dissolution behavior as well as the ultimate resolution.
Fig. 9 Precise synthesis of peptoid-based resists with varying side chains via iterative solid-phase synthesis. |
All CARs discussed above are based on polymers with pendant acid-labile groups, including t-BOC, tert-butyl ester, and acetal,54 which undergo acid-catalyzed deprotection reactions to switch solubility without significant changes of polymer sizes. Alternatively, acid-labile groups can also be integrated into polymer backbones to afford main-chain scission-type CARs (Fig. 10).55–57 In this manner, the acid-catalyzed reaction in the exposed areas would generate low molecular weight fragments, thus creating a solubility gradient. Besides linear polymer-based CARs, star-shaped polymers58–60 with acid-cleavable cores and partially protected PHS arms have also been explored.61,62 Preliminary evaluation of EUV lithographic performance showed higher sensitivity, a wider depth of focus (DOF), and better LWR for star polymer-based CARs when compared to their linear counterparts.61,62
The Ober group made significant advancements in addressing these challenges by designing and synthesizing a series of brominated PPA derivatives.85,86 These brominated linear PPAs demonstrated improved thermal stability and reduced outgassing compared to their non-substituted counterparts (Fig. 11a).87 In addition, this group identified several neutral PAGs with naphthalimide sulfonate moieties that could generate both a photoacid and a base quencher under EUV exposure.87 This dual functionality holds great promise for the design of single-component self-immolative polyacetal resists. However, a challenge arose with these PAG-capped linear PPAs: after exposure to EUV, an undesirable equilibrium between the monomer and the polymer was observed, which hindered the effective depolymerization process.87 To this end, the same group developed a novel single-component photoresist by tethering multiple PAGs onto brominated cyclic PPAs (Fig. 11b).84 They employed a generalized synthetic method based on sulfur(VI) fluoride exchange (SuFEx) click chemistry88 to produce phthalaldehyde-derived monomers. This approach significantly expanded the accessible chemical space by allowing the introduction of diverse neutral PAGs to the phthalaldehyde structure. The innovative design effectively prevented the establishment of the monomer–polymer equilibrium by driving monomer formation through the cleavage of these EUV-active side chains, thus initiating a backbone depolymerization cascade. Remarkably, this single-component resist achieved ultra-high EUV sensitivity, with an exposure dose as low as ∼3 mJ cm−2, by combining both photoacid-involved and depolymerization-mediated amplification mechanisms (Fig. 11b).84 However, despite these promising results, the EUV lithographic performance evaluation of this resist was not reported in this study.
Fig. 11 (a) Proposed end-to-end depolymerization mechanism of PAG-capped linear PPA after EUV-triggered uncovering of terminal alcohol groups. (b) Schematic of the photoacid-initiated degradation of single-component PAG-tethered cyclic PPA under EUV irradiation.84 Copyright 2022; reproduced with permission from the American Chemical Society. |
Poly(benzyl carbamate), another extensively explored self-immolative polymer (SIP), proceeds through a cascade of 1,6-eliminations and decarboxylation after removing stimuli-sensitive end-caps.89,90 Building on previous works involving selective bond cleavage in precision amphiphiles containing self-immolative polycarbamate segments under matrix-assisted laser desorption/ionization (MALDI) tandem mass spectrometry (MS) conditions,90–93 we recently reported a self-immolative poly(benzyl carbamate) derivative as a single-component and PAG-free resist for both electron beam and EUV lithography (Fig. 12).94 Upon exposure to low doses of electron beam or EUV radiation, this polycarbamate underwent fragmentation into multiple azaquinone methide intermediates through the selective cleavage of benzyl-O linkages, followed by a cascade degradation process, thereby functioning as a positive tone resist. Interestingly, at higher doses, the fragmented products could efficiently cross-link, converting the polycarbamate into a negative tone resist. In addition, discrete polycarbamates with precisely defined molecular weights were further synthesized to investigate the impact of chain length and dispersity on lithographic performance. It was found that these discrete self-immolative resists demonstrated higher resolution and lower LER compared to disperse resists in both electron beam and EUV lithography. Moreover, the aromatic nature of this self-immolative resist contributed to its good etch resistance, making it a promising candidate for advanced lithographic applications.
Fig. 12 Construction of disperse and discrete self-immolative poly(benzyl carbamate)s as dual-tone resists for both EUV and electron beam lithography.94 Copyright 2024; reproduced with permission from Wiley-VCH GmbH. |
To improve PMMA's performance, various derivatives have been developed by introducing electron-withdrawing substituents and aromatic groups.98–102 For example, two commercially available PMMA derivatives, ZEP520A and mr-PosEBR, which incorporate both chlorine substitutions and aromatic groups, were evaluated as EUV resists (Fig. 13a).103 Both materials demonstrated excellent sensitivity to EUV radiation and produced low outgassing compared to PMMA. They were able to achieve line space patterns with resolutions around 25 nm and acceptable LER. Further improvements were made to ZEP520A by introducing various substituents with undisclosed chemical structures, resulting in enhanced sensitivity, LCDU, and reduced defectivity after development.64,104–108 In addition, polymers with different backbones, not synthesized through radical polymerization, were explored as non-chemically amplified resists. In this context, Whittaker and colleagues developed a series of polycarbonates consisting of EUV-sensitive carbonate moieties and alicyclic groups, with the latter conferring high glass transition temperatures (Tg) and good etch resistance (Fig. 13b).109
The solubility switching mechanism in non-chemically amplified resists typically relies on main-chain scission; alternatively, EUV radiation-actuated polarity changes present an additional approach for designing these resists. For instance, radiation-sensitive sulfonium functionalities, which are common in PAGs, have been incorporated into polymers to enable a transition from hydrophilic sulfonium to hydrophobic thioethers upon EUV exposure (Fig. 14a).110–114 Homopolymers of sulfonium-containing monomers, such as PMAPDST (Fig. 14a), and copolymers of MAPDST with MMA have shown ultra-low line edge roughness (LER) (e.g., ∼0.9 nm) compared to existing CARs, along with high etch resistance and contrast.110 In addition to sulfonium-based systems, neutral radiation-sensitive moieties like oxime sulfonate have also been incorporated into polymers to enable a hydrophobic-to-hydrophilic transition under EUV exposure (Fig. 14b).115 The oxime sulfonate moiety, which offers enhanced EUV reactivity relative to sulfonium, was further incorporated into homopolymers that demonstrated improved sensitivity compared to sulfonium-containing polymers.114
As discussed in section 3.1, the integration of high EUV-absorbing elements provides another strategy to improve the sensitivity of non-chemically amplified resists, which lack the signal amplification mechanism.117,118 For example, polyoxometalate (POM) and HfO2 nanoparticles were functionalized with methacrylates and copolymerized with radiation-sensitive sulfonium-bearing monomers, resulting in organic–inorganic hybrid resists.119,120 These POM-hybrid polymers showed approximately a 3-fold increase in sensitivity under EUV conditions compared to the control polymers without the POM motif.119 Alternatively, hexafluoroantimonate, an inorganic counter-ion moiety, was incorporated into the sulfonium-containing polymer to enhance its sensitivity. This sensitivity enhancement enabled the creation of 20 nm isolated line patterns and various complex nanopatterns using EUV lithography. In another interesting report, metal-backboned oligomers containing the main group element bismuth were developed as EUV resists (Fig. 15).116,121 These oligomers, designed with acid-cleavable sites in the presence of PAGs, exhibited a range of lithographic performance levels. For example, dichloride variants of these oligomers achieved the highest resolution of ∼21 nm at a dose of 120 mJ cm−2, whereas diacetate variants exhibited a poorer resolution of ∼100 nm at a lower dose of 30 mJ cm−2.
Fig. 15 Acid-labile bismuth-containing oligomers with chemically tuned lithographic performance.116 Copyright 2014; reproduced with permission from SPIE. |
Cross-linking triggered by EUV radiation is yet another approach for developing non-chemically amplified resists.116,121,123 Radical-mediated thiolene chemistry,124 for instance, was used to insolubilize resist materials based on PHS derivatives, achieving high sensitivity (i.e., 5–6 mJ cm−2) in a resist formulation that includes alkene-modified PHS, multifunctional thiol compounds, and photo-initiators.125 Another example came from the Lee group, who developed highly fluorinated alternating copolymers based on maleimide and styrene backbones with relatively high Tg (Fig. 16).122 These copolymers could be cross-linked via EUV-induced radical generation from fluorinated moieties. Furthermore, the integration of an Me3Sn moiety in the side chain further improved the sensitivity to ∼7.5 mJ cm−2.122
Fig. 16 Highly fluorinated alternating copolymers could be cross-linked after electron beam or EUV exposure via radical generation from fluorinated moieties.122 Copyright 2021; reproduced with permission from SPIE. |
First, the interactions between EUV light with high-energy photons and resists are not yet fully understood.7,126 Knowledge of EUV radiation physics and chemistry is crucial for designing efficient resists with improved performance.7,126 The presence of multiple components in resist materials, along with the varying interaction mechanisms dependent on irradiation doses (e.g., degradation or cross-linking for PMMA), complicates this understanding.126 Developing model resist systems with fewer components or even a single component, alongside advanced measurements like neutron reflectivity127 and computational methods, can provide valuable insights into the interactions.126 Moreover, while high-resolution electron beam lithography is often used to pre-evaluate the performance of patterning materials due to its wider accessibility and high-energy characteristics, the results are not perfectly translatable to EUV lithography. Thus, greater collaboration between industry, equipped with EUV lithography tools, and academia is needed to uncover the resist physics and chemistry under EUV irradiation.
Second, while it has been well-established that integrating elements with high EUV absorption cross-sections into polymeric resists can improve sensitivity, this approach may also introduce challenges related to radiation chemistry,39 solubility, film-forming capability, outgassing, and pattern fidelity. Furthermore, these newly designed resist materials must be compatible with existing EUV lithography tools and processes, as well as various chemicals, while exhibiting excellent stability during storage, handling, and processing. Thus, thorough characterization of new resist materials and finding the right balance between enhancing EUV absorbance and maintaining other crucial properties are essential.
Last but not least, as the features required in EUV lithography become smaller, optical and chemical stochastics are playing increasingly significant roles in patterning results. Efforts to control photoacid diffusion in CARs have led to the development of several new materials, but the control is still insufficient for the resolutions needed in future generations. Optimizing a resist to simultaneously improve RLS parameters is a daunting challenge. For example, high-sensitivity, low-resolution resists like conventional CARs for 193 nm lithography become much less sensitive as their resolution is improved to sub-7 nm. Conversely, low-sensitivity, high-resolution resists such as non-chemically amplified resists without chemical amplification begin to lose resolution capability as their sensitivity is improved. In this context, high EUV-absorbing oligomeric resists with precise molecular weights, molecular glasses, and inorganic resists with ultrasmall sizes are promising materials for high-resolution EUV lithography.
This journal is © The Royal Society of Chemistry 2024 |