Increasing the sensitivity of a non-chemically amplified molecular resist by cascade esterification†
Received
16th January 2025
, Accepted 4th April 2025
First published on 7th April 2025
Abstract
A molecular glass functionalized with γ-hydroxy carboxylate and triphenylsulfonium groups (ADTPS) was successfully synthesized and characterized. The solubility, thermal stability, and film-forming ability of the molecular glass were evaluated, confirming the feasibility of using it as a resist material. The lithographic performances of the ADTPS resist were studied by electron beam lithography (EBL) and extreme ultraviolet lithography (EUVL). It exhibited a high sensitivity for EBL with a dose-to-clear of only 145 μC cm−2. A line/space (L/S) down to a 22 nm resist pattern was achieved by EBL. The ADTPS resist was further evaluated by EUVL, achieving performance down to a 20 nm HP pattern at a dose of 13.3 mJ cm−2, which is more than 10 times higher than that of most triphenyl sulfonium-based non-chemically amplified resists (n-CARs). The increasing sensitivity is attributed to the occurrence of cascade esterification, which produces a subsequent lactone or ester and leads to an enormous change in solubility. The ADTPS molecular resist significantly improves the sensitivity, offering a promising pathway for the design and development of high-sensitivity molecular n-CARs.
Introduction
The fabrication of nanoscale semiconductor devices increasingly requires high-resolution patterns with smaller feature sizes to meet expectations set by Moore's law.1 As the feature size decreases, the requirements for resists' performance have gradually increased. Extreme ultraviolet lithography (EUVL) has become the most advanced high-resolution lithography technology, which is widely used in high-volume production for feature sizes lower than 20 nm half-pitch (HP). However, the shot noise generated from the high energy of EUV photons exhibits significant effects on the line edge roughness (LER) of the lithographic pattern.2–4 The development of high-quality EUV patternable resist materials is one of the most critical issues in the EUV lithography.5 Chemically amplified resists (CARs) have dominated the semiconductor industry for decades due to their high sensitivity. Usually, CARs are mainly composed of organic matrix resins, photoacid generators (PAGs), and other additives. The ratio of PAGs in CARs is generally very low, which leads to a sparse spatial and stochastic distribution of PAGs in resist films. The stochastic distribution of PAGs and the uncontrolled diffusion of acid in the resist film may result in poor line edge roughness (LER), suggesting a resolution limit for CARs. Some researchers attempted to covalently bind PAGs to the skeleton of resist materials to mitigate the nonuniform acid distribution and diffusion.6–13 Recently, our research group developed a PAG-bound molecular resist, resolving a 25 nm HP pattern and a 16 nm semi-dense line/space pattern.14 Although binding PAGs to the skeleton improves the lithographic performance of resists, it is still difficult to achieve high-resolution patterns to meet the demand of future technology nodes due to the inherent acid diffusion in CARs.
Another approach to address the limitation of resolution is to develop non-chemically amplified resists (n-CARs).5,15–18 Since n-CARs harness direct photochemical reactions of their photosensitive groups for the solubility switch, the need for PAGs is eliminated. This approach effectively avoids acid diffusion and heterogeneity in CARs, providing a way to fabricate high-resolution patterns with minimal LER. Some novel n-CARs based on polycarbonates,19,20 metal-containing clusters,21,22 polysulfone-containing polymers,23 metal–organic complexes,24 polymers containing sulfonium salt,25,26 and polymers containing iodonium salt27,28 have been investigated to achieve sub-20 nm patterning under e-beam exposure. Gonsalves et al. designed a non-chemically amplified polymeric hybrid resist based on the radiation-sensitive sulfonium functionality and organotin, achieving a 25 nm half-pitch pattern at a dose of 400 μC cm−2 with a 20 kV acceleration voltage.29 Our research group has reported a range of n-CARs based on triphenylsulfonium triflate modified polystyrene, achieving remarkable resolution (13 nm L/S) and low LER (2.8 nm) patterns by EUV lithography.25 All the findings demonstrate the potential of n-CARs for resolving sub-20 nm resolution patterns.
As the feature size of patterns decreases, the geometric size of the building block forming the resist becomes very important. The small and uniform geometric dimensions of the resist material contribute to the formation of high-resolution lithographic patterns. Molecular glasses (MGs) are a class of organic compounds with small and well-defined structures.5,30–34 Compared to traditional polymers, MGs are easy to synthesize repeatedly and possess monodispersed building blocks and smaller magnitudes, which make them a promising alternative as resist materials for achieving high-resolution patterns. To date, different CARs based on MGs, such as fullerene,35 Noria,36 calixarene,34 and branched polyphenols,37–39 have been reported in the literature. Our recent work has led to the development of a series of MG resists based on bisphenol A,40 spirobifluorene,41 tetraphenylsilane,42 and adamantane derivatives43 for EUV and electron beam lithography, successfully delivering patterns with high resolution and low LER. Although molecular CARs have been studied extensively, there are few reports about n-CARs based on MGs.44,45 Our recent explorations have confirmed a range of n-CARs based on sulfonium-modified MGs, achieving high resolution and low LER patterns. Among them, SnMSF4, BPSS4, and TPESF6 molecular n-CARs show extremely high resolution affording 13 nm line/space (L/S) patterns with the lowest line edge roughness (LER) of only 1.8 nm.18,46,47 Although the resolution and LER have been greatly improved, the relatively low sensitivity (exposure doses of 180–200 mJ cm−2) of n-CARs remains a hurdle for their further application in industry. Therefore, improving the sensitivity of n-CARs is an urgent issue to be solved. Our previous studies and other research results suggest that the introduction of groups with more efficient photochemical reactions into the polymer will improve the sensitivity of resists, and the exposure dose can be reduced to below 100 mJ cm−2.6,15,28 However, it is still difficult to further increase the sensitivity only by modulating the photosensitive group.
In this work, we designed and synthesized a molecular glass (ADTPS) based on an adamantane derivative with two γ-hydroxy carboxylate triphenylsulfonium pendants as shown in Fig. 1. The sulfonium acts as the photosensitive group to achieve the polarity switch and produce carboxyl. Then, the cascade esterification of γ-hydroxy carboxylate leads to further polarity and solubility switch after exposure. The lithographic performance of the ADTPS resist was extensively evaluated using both EBL and EUVL, confirming the great improvement of sensitivity. It achieves EUVL performance down to a 22 nm HP pattern at a dose of 13.3 mJ cm−2, which is 10 times higher than that of most triphenyl sulfonium based n-CARs. The introduction of the γ-hydroxy acid group bonding with triphenylsulfonium into the skeleton is a new and effective solution to improve the sensitivity of n-CARs.
 |
| Fig. 1 The structure of ADTPS. | |
Results and discussion
Synthesis and characterization of ADTPS
The synthesis of ADTPS is depicted in Scheme 1. The Suzuki coupling reaction of ADBr and phenylboronic acid afforded ADOMe in a yield of 77% (Fig. S1†). After being treated with BBr3 at 0 °C, ADOMe was converted into ADOH in a yield of 75% (Fig. S2†). Subsequently, ADBL was obtained by refluxing the mixture of ADOH and α-bromo-γ-butyrolactone in acetone in the presence of potassium carbonate with a yield of 40% (Fig. S3 and S4†). Then, the intermediate ADBL was hydrolyzed in a methanol solution of sodium hydroxide, and triphenylsulfonium hydroxide was added for ion exchange to afford the product ADTPS in 55% yield. It was characterized using 1H NMR, HRMS, and FTIR spectroscopy (Fig. S5–S8†). The 1H NMR spectrum of ADTPS (Fig. S5†) exhibits signal peaks at 1.14–3.56 ppm assigned to the protons for butyrolactone and adamantine, and a series of multiplets between 7.24 and 7.81 ppm for the benzene protons. The new signal peaks at 7.81 ppm indicated the formation of triphenylsulfonium by comparing the spectra of ADBL and ADTPS (Fig. S3 and S5†). The HRMS spectrum (Fig. S7†) displays fragments with different charge states, including positive ion peaks at m/z = 263.0890 (calcd. 263.0889 for C18H15S+ [M]+) and a negative ion peak at m/z = 413.1755 (calcd. 413.1758 for C54H50O82− [M]2−). The FTIR spectrum of ADTPS (Fig. S8†) exhibits absorption peaks at 1599 and 1446 cm−1, which correspond to asymmetrical expansion vibration and symmetrical expansion vibration of carboxylates, respectively. All these results confirm the successful synthesis of ADTPS.
 |
| Scheme 1 Synthesis of ADTPS. | |
Physical properties of ADTPS
The thermal performance of ADTPS was investigated by thermogravimetric analysis (TGA). Fig. 2a shows the TGA curves of ADTPS, exhibiting a thermal decomposition temperature (Td) of 231 °C. No obvious glass transition temperature (Tg) was observed by differential scanning calorimetry (DSC) analysis. The excellent thermal stability confirms that ADTPS is fit for the baking process during the lithography. Besides, solubility is the basic physical property for resist materials. ADTPS exhibits good solubility in polar organic solvents, such as propylene glycol monomethyl ether (PGME), acetonitrile (ACN), and cyclohexanone (CYC), providing a wide choice of resist coating solvents. PGME is used as the resist solvent due to its superior solubility to ADTPS above 40 mg mL−1. The surface morphology of the ADTPS resist film prepared by spin coating and prebaking was characterized using atomic force microscopy (AFM), as illustrated in Fig. 2b. The RMS (root-mean-square) roughness of the ADTPS resist film was calculated to be 0.24 nm in an area of 5 × 5 μm2, suggesting the excellent film-forming performance of the ADTPS material. The asymmetric structure of the adamantane core may facilitate the amorphous state and film formation of ADTPS. All these results suggest that ADTPS has excellent physical properties and is suitable for high-resolution lithography.
 |
| Fig. 2 (a) TGA curve of ADTPS; (b) two-dimensional AFM image of the ADTPS film. | |
Optimization of development conditions for the ADTPS resist
The solubility characteristic of resist films before and after exposure is an important factor for the selection of developers. To screen the developers for positive and negative tone development, the spin-coated ADTPS films (77 nm) were exposed to deep ultraviolet (254 nm) light (∼2.5 mW cm−2) for 1 minute. Then, the films before and after exposure were developed in different solvents for 60 s and the remaining thickness was measured using an ellipsometer. Table 1 summarizes the film remaining percentage before and after exposure in different solvents. In organic developers such as tert-butyl acetate (t-BAC) and n-butyl acetate (n-BAC), the ADTPS resist film before exposure is almost insoluble with a film remaining percentage of over 80%. Meanwhile after exposure, the film is completely soluble, showing a positive-tone development (PTD) behavior. On the other hand, no solubility selectivity is observed in a single solvent such as water or ethanol for the film before and after exposure. However, by carefully modulating the ratio of water and ethanol to form a developer mixture, the solubility selectivity for the films is achieved. When the volume ratio of water to ethanol is less than 1
:
1, the films before and after exposure are both soluble, suggesting no solubility selectivity of the mixture for the films. By increasing the volume ratio of water to alcohol from 1
:
1 to 10
:
1, the resist film before exposure is still soluble, and the remaining percentage of the resist film after exposure is significantly increased from 0 to 81%, exhibiting a negative-tone development (NTD) behavior. Further increasing the proportion of water to 20
:
1, although the film retention after exposure continues to increase to 83%, it also leads to a film residue before exposure, which is not conducive to the improvement of selectivity. The appropriate volume ratio of water to ethanol is in the range of 2
:
1 to 10
:
1. The solubility switch of the ADTPS film by DUV exposure in different solvents suggests a dual-tone development via tuning developers.
Table 1 The remaining percentage of ADTPS films before and after DUV (254 nm) exposure in different developers
Solvent |
n-BAC |
t-BAC |
Water |
Ethanol |
Water/ethanol (volume) |
1 : 1 |
2 : 1 |
3 : 1 |
5 : 1 |
10 : 1 |
20 : 1 |
Remaining % (before exposure) |
80 |
87 |
14 |
0 |
0 |
0 |
0 |
0 |
0 |
8 |
Remaining % (after exposure) |
0 |
0 |
82 |
0 |
0 |
72 |
77 |
79 |
81 |
83 |
DUV (254 nm) lithography further confirms the applicability of developers. 3 μm PTD dense line/space patterns were resolved upon 254 nm light exposure by using n-BAC and t-BAC developers, respectively (Fig. 3a and b). By changing the mixture of water/ethanol (2/1–10/1) as the developers, 1.5 μm NTD lithographic patterns were achieved as illustrated in Fig. 3c–e. All these results indicate the potential of the ADTPS resist for high-resolution lithographic patterns in suitable developers.
 |
| Fig. 3 The SEM images of ADTPS resist patterns developed in (a) n-BAC (3 μm), (b) t-BAC (3 μm), (c) water/ethanol (2 : 1) mixture (1.5 μm), (d) water/ethanol (3 : 1) mixture (1.5 μm), and (e) water/ethanol (10 : 1) mixture (1.5 μm) by DUV (254 nm) exposure at 25 mJ cm−2. | |
EBL performance of the ADTPS resist
The contrast and sensitivity values of the ADTPS resist developed in water/ethanol mixtures were measured by the normalized remaining thickness (NRT) method (Fig. 4). A series of 1.5 × 1.5 μm2 frames were exposed on the ADTPS resist film with increasing e-beam doses ranging from an initial dose of 7 to a final dose of 1262 μC cm−2 in a 16% increment. After development in different developers, the remaining thickness was measured by AFM for each frame. Fig. 4a shows an example of the 2D AFM images of ADTPS resist patterns developed with a water/ethanol (2
:
1) mixture. It exhibits a typical negative-tone characteristic where the thickness of the pattern increases progressively and maintains an almost constant thickness after a threshold as the dose increases. Fig. 4b shows the contrast curves fitted with a logistic function. The sensitivity and contrast are derived from the slope of the curve at the point where y is 0.5. The detailed calculation procedures are shown in the ESI.† The sensitivities (D100) of the ADTPS resist are calculated to be 145, 98, and 74 μC cm−2 developed in the mixture of water/ethanol with volume ratios of 2
:
1, 3
:
1, and 10
:
1, respectively. The proportion of water in the developer exhibits a significant effect on the resist sensitivity. The sensitivity of the ADTPS resist increases as the volume ratio of water increases. This is attributed to the decreasing solubility of the exposed areas in developers with a high proportion of water, and thus lower exposure doses are required to switch the solubility for the formation of negative patterns. It is consistent with previous negative-tone resist systems.35 On the other hand, the contrast decreases from 4.3 to 2.8 and 2.6 as the water proportion increased from 2
:
1 to 3
:
1 and 10
:
1, respectively, which confirms the trade-off relationships among the resolution, LER, and sensitivity for n-CARs. By comparing the results of sensitivity and contrast, the mixture of water/ethanol with a ratio of 2
:
1 exhibits the best performance in contrast and acceptable sensitivity. It should be noted that the sensitivity of the ADTPS resist for EBL is much higher than that of similar previously reported sulfonium-based n-CARs.18,25,47 The significantly improved sensitivity of the ADTPS resist may be attributed to the sulfonium group, the cascade reaction, and the optimization of the developing solvent (see the Mechanistic analysis of ADTPS resist pattern generation section below).
 |
| Fig. 4 The sensitivity and contrast analysis of the ADTPS resist by EBL. (a) 2D AFM images of ADTPS resist patterns developed in a water/ethanol (2 : 1) mixture at different EBL exposure doses; (b) the NRT curves of the ADTPS resist developed in water/ethanol mixtures with different ratios. | |
The ADTPS resist exhibits the potential for dual-tone development according to the DUV (254 nm) lithographic experiment. Fig. S9† shows the typical positive tone developed SEM configurations in n-BAC for the ADTPS resist by EBL. Only blurred 100, 75, and 50 nm line/space (L/S) patterns are observed. We fail to give a pattern with a higher resolution. The possible reason for this may be the unstable ADTPS resist film on SEM, which makes it difficult to capture the image of patterns. Thus, the ability of the ADTPS resist to form higher resolution lithographic patterns is investigated only in NTD performance. Fig. 5 shows the 30, 25, 22, and 20 nm L/S NTD patterns of the ADTPS resist developed in the mixture of water/ethanol (2
:
1) at a dose of 160 μC cm−2. The film thickness is about 40 nm to prevent the pattern collapse resulting from the high aspect ratio. The 30, 25 and 22 nm L/S patterns are finely resolved without bridging or collapse at the dose of 160 μC cm−2, giving the line edge roughness of 4.5, 4.3, and 5.2 nm (Fig. 5a–c and S11–S13†). In the case of a smaller feature size of 20 nm L/S patterns, severe bridging is observed (Fig. 5d). The limited resolution may be attributed to the intermolecular cascade esterification of γ-hydroxy carboxylate after photolysis, which results in a higher LER (see the Mechanistic analysis of ADTPS resist pattern generation section below).
 |
| Fig. 5 The SEM images of the (a) 30, (b) 25, (c) 22, and (d) 20 nm L/S line NTD patterns of the ADTPS resist developed in the mixture of water/ethanol (2 : 1) by EBL (film thickness: 40 nm). | |
EUVL performance of the ADTPS resist
Inspired by the excellent sensitivity of the ADTPS resist for the EBL performance, we further investigate the lithographic performance for EUVL. It should be noted that the contrast curve of the ADTPS resist in EUVL is not measured due to the limitation of the time for EUVL experiments. Our previous study has demonstrated that similar contrasts were observed for the sulfonium-based resists by EBL and EUVL, giving contrast values of 5.4 and 4.6, respectively.18 To demonstrate the resolution limit of the ADTPS resist with EUVL, we employ grating masks at the Shanghai Synchrotron Radiation Facility (SSRF) which provides 30, 25, 22, and 20 nm half-pitch (HP) patterns on the wafer. The lithographic processes such as prebaking and development are consistent with those in the EBL. The film thickness is further reduced to 30 nm to prevent the pattern collapse. The L/S patterns of the ADTPS resist at different pitches, exposed at various doses, are presented in Fig. 6. It exhibits a typical NTD characteristic, that is, the line width becomes wider with increasing exposure doses from 10.4 to 24.5 mJ cm−2. The optimal EUV exposure dose for the ADTPS resist is in the range of 11.8–19.2 mJ cm−2. All the 30, 25, 22 and 20 nm L/S patterns are achieved in the dose range (Fig. 6, in red frames). These line patterns are free from bridging, breaking, or collapse and have acceptable LERs. For example, in the case of 13.3 mJ cm−2 exposure, the images with high magnification were provided for better clarity, and the LER parameters of the 30, 25, 22 and 20 L/S patterns calculated using the software ProSEM are 4.7, 3.8, 4.4, and 5.1 nm, respectively (Fig. S10 and S14–S17†). Compared with the previously reported sulfonium based n-CARs by our research group,18,25,47 the LER values are significantly higher, which may be mainly attributed to the following possible reasons: i) although the cascade esterification improves the sensitivity of the ADTPS resist, it is difficult to control the esterification occurring intramolecularly or intermolecularly. The intermolecular esterification will lead to unwanted polymerization, which results in larger LERs. ii) The decomposition of the sulfonium group in the ADTPS molecule leads to the top-loss of the resist film, which results in poor LERs.43,48 iii) The roughness of the grating and the vibration of the EUV exposure platform contribute to the LER and limit the improvement of resolution.
 |
| Fig. 6 The SEM images of the 30, 25, 22 and 20 nm HP line patterns of the ADTPS resist at different exposure doses (thickness: 30 nm; developer: water/ethanol = 2/1). | |
For comparison, the performances of the ADTPS resist and some recently reported n-CARs are summarized in Table S1.† The EUV sensitivity of the ADTPS resist (∼13.3 mJ cm−2) is more than 10 times higher than that of our previously reported n-CARs,18,25,46,47 suggesting that the sensitivity of n-CARs can be greatly improved by molecular design and process optimization. The high sensitivity of the ADTPS resist can be attributed to the following primary factors. Firstly, the photolysis of the sulfonium group generates carboxylic acid, which subsequently undergoes dehydration with hydroxyl groups to produce esters. The cascade esterification enhances the overall reaction efficiency and switches the solubility of the resist film, which is similar to the amplification process of the CAR system, but avoids the problems of the non-uniform acid distribution and uncontrollable acid diffusion in CARs. Secondly, the appropriate number of sulfonium groups in the ADTPS molecule also plays an important role in improving the sensitivity of n-CARs. Our previous report has demonstrated that the number of sulfonium moieties in the molecule is closely related to the sensitivity of the corresponding resist. The high uploading of sulfonium groups generally results in a decrease of sensitivity of the molecule because the molecule needs to lose most of its polar sulfonium groups to switch solubility by EUV irradiation.18 The ADTPS molecule is decorated with only two peripheral sulfonium groups, which means that a lower number of EUV photons can complete the reaction and solubility switch.
Mechanistic analysis of ADTPS resist pattern generation
To confirm the photo-acid generation of the ADTPS molecule, the solution of ADTPS and the acid indicator rhodamine B in acetonitrile was irradiated by 254 nm light. The absorbance of the solution at different exposure doses was measured and is shown in Fig. 7a. With the exposure doses increasing from 0 to 79 mJ cm−2, the absorption maximum at 555 nm assigned to the protonated rhodamine B increases obviously, demonstrating that the ADTPS molecule can generate acid efficiently with the irradiation of 254 nm light. Further increasing the exposure dose to 84 and 108 mJ cm−2 results in a slight decrease of the absorption (Fig. 7a, inset), suggesting that the yield of acid becomes lower than the reduction. The intra- or intermolecular esterification may be the cause of acid reduction. The control experiment was performed and the absorption of rhodamine B in acetonitrile without ADTPS under 254 nm light irradiation was measured as shown in Fig. S18.† The change of the background (Abs < 0.1) by 254 nm light is almost negligible compared with the absorption change (Abs ∼ 0.8) in the presence of ADTPS (Fig. 7a). To further confirm the cascade reaction, the FT-IR spectra of the ADTPS resist film (thickness: ∼200 nm) on wafers before and after exposure to 254 nm light were measured (Fig. 7b). A new peak assigned to the carbonyl group of carboxylic acid at 1724 cm−1 appears and the intensity increases gradually with the increase of exposure doses (0–2160 mJ cm−2). A broad peak appears at 1800–3400 cm−1 assigned to the hydroxyl stretching vibration band of dimeric carboxylic acids.49,50 At the exposure dose of 2160 mJ cm−2, the new peak of the carbonyl group appears at 1789 cm−1, which is consistent with the inference of formation of ester by intra- or intermolecular dehydration.49 The 1H NMR spectra of ADTPS in deuterated acetonitrile at different exposure doses of 254 nm light were measured to confirm the occurrence of the photochemical reaction (Fig. S1†). With the exposure doses increasing from 0 to 360 mJ cm−2, a new signal peak of the carboxylic acid proton at 9.72 ppm was observed, suggesting the generation of carboxylic acid by photolysis of ADTPS. The proton signal peak of the carboxylic acid proton is not obvious, which is consistent with the inference that carboxylic acid products are unstable and tend to form lactones. New signal peaks at 8.37 and 6.81 ppm are consistent with the decomposition of the triphenylsulfonium group, and peaks at 3.86–3.78 ppm and 3.64 ppm suggest the occurrence of cascade esterification. Furthermore, an obvious insoluble substance in deuterated acetonitrile was observed after exposure, suggesting the formation of insoluble oligomers by intermolecular condensation. Therefore, the results demonstrate that the generation of the γ-hydroxy acid and the acid-catalyzed esterification occurred in the ADTPS resist film, suggesting the potential of the ADTPS resist for “amplification” by a cascade reaction.
 |
| Fig. 7 Exposure mechanistic investigation of the ADTPS resist. (a) UV absorbance of the rhodamine B and ADTPS mixture solution exposed to 254 nm light at different exposure doses in acetonitrile. Inset: a partial magnification of absorption peaks; (b) FTIR spectra of ADTPS resist films before and after DUV exposure; (c) mass spectra of the gas composition of the ADTPS resist before and after EUV exposure; (d) schematic of possible fragmentation and degradation pathways of the ADTPS resist during the EUVL. | |
To further confirm the species of the volatile defragmentation released from the EUV exposed resist film, an in situ outgassing analysis was performed using a quadrupole mass spectrometer (QMS) installed at SSRF (Fig. 7c). A series of signals at m/z = 77 and 78 assigned to C6H5+ or C6H6+ in the MS spectra are observed at a dosage of 15 mJ cm−2, suggesting the decomposition of the triphenylsulfonium unit in the ADTPS molecule during exposure. It is consistent with previous studies on the photolysis of sulfonium to produce sulfides and benzene fragments.14,42
Based on the above photolysis experiment, FT-IR spectra, 1H NMR spectra, in situ MS analysis, and the reported literature,51,52 a possible mechanism for the photochemical transformation during exposure is proposed, as shown in Fig. 7d. It is presumed that a photochemical reaction occurs first, wherein the cationic sulfonium group is converted into a mixture of neutral sulfides and low-molecular weight species, such as diphenyl sulfide and benzene, under EUV or DUV irradiation. The resulting carboxylate anion is converted into carboxylic acid and it is further converted into ester by a cascade reaction. The occurrence of the cascade intra- or intermolecular esterification improves the efficiency of the reaction, which increases the sensitivity of the ADTPS resist dramatically.
Etching resistance of the ADTPS resist
The etching resistance is an important factor for resists. To evaluate the etching resistance of the ADTPS resist, the etching kinetics of the ADTPS resist are investigated. The thin film of the ADTPS resist on a silicon wafer is exposed by EBL and developed by a water/ethanol (2
:
1) mixture. After development, the prepared frame pattern was subjected to plasma etching using a SF6/O2 mixture.53 Then, the residual resist is removed using plasma cleaner CPC-F at a power of 100 W for a duration of 5 minutes. The heights of the patterns after development, etching, and resist stripping are measured by AFM. Fig. 8 shows the three-dimensional (3D) images of the patterns together with their cross-sections. The thickness of the photoresist is 30 nm after development (Fig. 8a). After etching for 10 s, the height is measured to be 166 nm, corresponding to the height of silicon etching and the remaining resist. After resist stripping, the height is 154 nm, demonstrating that the remaining thickness of the resist is 12 nm. The etch depths of the ADTPS resist and the underlying silicon substrate are calculated to be 18 and 154 nm, giving etch rates of 1.8 nm s−1 for the resist and 15.4 nm s−1 for the silicon, respectively. The etch selectivity of the ADTPS resist to silicon is 9
:
1, indicating excellent etching resistance.
 |
| Fig. 8 The 3D AFM topography images and the sectional profile of the patterns (a) after development, (b) after etching, and (c) after resist stripping. | |
Conclusion
In summary, a novel molecular resist based on a γ-hydroxy carboxylate and triphenylsulfonium group functionalized adamantane derivative (ADTPS) is prepared. A dual-tone pattern was achieved by developing with BAC or a mixture of water/ethanol. By optimizing the EBL process, the ADTPS achieved 22 nm L/S patterns as a negative resist at a low exposure dose of 160 μC cm−2. EUVL confirms that the ADTPS resist has high sensitivity, giving an exposure dose of 13.3 mJ cm−2 for forming 20 nm HP patterns. The high sensitivity of the ADTPS resist is attributed to the occurrence of cascade esterification and the appropriate number of sulfonium groups. This demonstrates that the sensitivity of n-CARs can be greatly improved by the molecular design via cascade reaction “amplification”, affording a new way to the development of high-sensitivity n-CARs.
Experimental
Materials and instruments
All reagents and solvents used were purchased from commercial suppliers and used without any further purification unless otherwise specified. Nuclear magnetic resonance (NMR) spectra were measured on an Avance II-400 (Bruker, Germany) by dissolving samples in corresponding deuterated solvents. Thermal gravimetric analysis (TGA) was recorded on a JCT-1 (Hengjiu, China) at a heating rate of 10 °C min−1 under a nitrogen atmosphere. High-resolution mass spectroscopy (HRMS) was performed on a Solarix FT-ICR mass spectrometer (Bruker, Germany). Fourier transform infrared (FTIR) spectra were recorded using an Excalibur 3100 IR (Varian, USA). UV–vis absorption spectra were acquired on a UV-2550 spectrophotometer (Shimadzu, Japan). Resist films were prepared by spin coating with a CEE200X coating machine (Brewer Science, USA). The resist film thickness was measured using an AST SE200BM spectroscopic ellipsometer (Angstrom Sun, USA). The photolysis properties were evaluated under exposure to a Hg lamp (254 nm) with an optical intensity (I0) of 2.5 mW cm−2. E-beam exposure experiments were performed on a Vistec EBPG 5000plus ES (Vistec, USA). The acceleration voltage and beam current were 100 kV and 100 pA, respectively. The EUV exposure was performed on the soft X-ray interference lithography beamline (BL08U1B) at SSRF. The EUV grating mask afforded the 30, 25 nm, 22, and 20 nm HP patterns. The resulting patterns were inspected using a Regulus 8230 scanning electron microscope (Hitachi, Japan). Atomic force microscopy (AFM) images were taken using a Dimension Fastscan Bio system (Bruker, USA). The line width and line edge roughness (LER) were measured by analyzing SEM images with ProSEM software. All the lines in the image were selected for analysis, and the LER on the left and the right were obtained, respectively. Their average value was taken as the LER value of the image. The etching step was performed after EBL on the etching machine Etchlab 200 (Sentech, Germany).
General procedure for the ADTPS resist lithography
The resist solution was prepared by dissolving 120 mg ADTPS resist in 10 ml propylene glycol monomethyl ether (PGME). The solution was filtered through a 0.2 μm membrane filter three times and smooth thin films of 30–80 nm in thickness were achieved by spin coating the above resist solutions on silicon wafers (1500–5000 rpm, 30 s). A post bake temperature of 80 °C for 120 s was applied to the resist film using a hot plate to remove excess casting solvent PGME. The film thickness was measured using a spectroscopic ellipsometer, and the film was then exposed by DUVL (254 nm), EBL and EUVL. The ADTPS resist was demonstrated as a dual-tone (positive and negative tone) resist for DUVL. The positive patterns and negative patterns were generated by developing in n-butyl acetate, tert-butyl acetate and a mixed solvent consisting of water and ethanol, respectively. The EBL exposure was performed using an electron beam lithography system (Vistec EBPG 5000plus ES). The acceleration voltage and beam current were 100 kV and 100 pA, respectively.
Contrast and sensitivity analysis
A series of square exposure patterns (1.5 μm) with different exposure doses were made by electron beam exposure. After developing in a mixed solvent consisting of water and ethanol, contrast curves were obtained by measuring the remaining film thickness of the square area using AFM.
Etching resistance
A series of square exposure patterns (1.5 μm) with different exposure doses were made by electron beam exposure and developed under the optimized conditions. Standard cryogenic etching is a very stable, reproducible, and clean process, which produces high resist selectivity and high anisotropy without sidewall scalloping.42 The developed photoresist film was etched using SF6/O2 mixture plasma at −110 °C for 10 s according to the typical temperature.43 The residual resist after etching was removed using plasma cleaner CPC-F (CIF, China). The depths before and after etching were examined by AFM.
In situ outgassing investigation
The resist outgassing analysis system has been introduced in our previous report.54 It includes a real-time vacuum detection system, a QMS, a sample transition system, and a central controlled telecommunication system. To analyze the outgassing species and the pressure increase of the ADTPS resists during the irradiation, the ADTPS film was exposed over a large area of ∼1 cm2 with EUV irradiation under high vacuum conditions. At the same time, the in situ outgassing was analyzed using a QMS, and the pressure was recorded with a vacuum gauge during the exposure.
Data availability
The data supporting this article have been included as part of the ESI.†
Author contributions
H. W. performed the synthesis, experimental measurements, and manuscript drafting. J. C. proposed the idea, conducted the experiments, analyzed the data, and performed manuscript drafting and review. Y. Z., T. Y., X. G., S. W., and R. H. were involved in the design of experiments, analytical characterization, and data analysis. J. Z. and Y. W. performed the EUV lithographic experiment and discussions. G. Y. helped design the synthetic route and performed data analysis and discussion and manuscript review. Y. L. supervised the overall study, characterization, and manuscript review.
Conflicts of interest
The authors declare no competing financial interest.
Acknowledgements
This work was supported by the National Natural Science Foundation of China (22090012, 22475224, U20A20144). The Chinese Academy of Sciences (CAS) is gratefully acknowledged. We also thank the National Center for Nanoscience and Technology for EBL experiments.
References
- G. E. Moore, Cramming more components onto integrated circuits, Proceedings of the IEEE, 1998, 86(1), 82–85 Search PubMed.
- R. L. Brainard, P. Trefonas and J. H. Lammers, et al., Shot noise, LER and quantum efficiency of EUV photoresists, Proc. SPIE, 2004, 5374, 74–85 CrossRef CAS.
- J. M. Hutchinson, The shot noise impact on resist roughness in EUV lithography, Proc. SPIE, 1998, 3331, 531–536 CrossRef.
- H. Tsubaki, S. Tarutani and N. Inoue, et al., EUV resist materials design for 15 nm half pitch and below, Proc. SPIE, 2013, 8679, 867905 CrossRef.
- L. Li, X. Liu and S. Pal, et al., Extreme ultraviolet resist materials for sub-7 nm patterning, Chem. Soc. Rev., 2017, 46(16), 4855–4866 RSC.
- M. Wang, W. Yueh and K. E. Gonsalves, Novel ionic photoacid generators (PAGs) and corresponding PAG bound polymers, J. Photopolym. Sci. Technol., 2007, 20(5), 751–755 CrossRef CAS.
- M. Wang, W. Yueh and K. E. Gonsalves, New anionic photoacid generator bound polymer resists for EUV lithography, Macromolecules, 2007, 40(23), 8220–8224 CrossRef CAS.
- K. E. Lee and M. J. Kim, et al., Synthesis of novel photoacid generator containing resist polymer for electron beam lithography, J. Nanosci. Nanotechnol., 2012, 12(1), 725–729 CrossRef CAS PubMed.
- M. H. Somervell, T. Hirayama and S. M. Kim, Limitation of blend type of resist platform on EUV lithography, Proc. SPIE, 2012, 8325, 83251D CrossRef.
- J. L. Sturtevant, K. E. Gonsalves and M. Thiyagarajan, Newly developed polymer bound photoacid generator resist for sub-100-nm pattern by EUV lithography, Proc. SPIE, 2005, 5753, 771–777 CrossRef.
- M. Wang, K. E. Gonsalves and W. Yueh, Novel anionic photoacid generators (PAGs) and corresponding PAG bound polymers, Macromol. Rapid Commun., 2006, 27(18), 1590–1595 CrossRef CAS.
- M. Wang, W. Yueh and K. E. Gonsalves, Fluorine-contained photoacid generators (PAGs) and corresponding polymer resists, J. Fluorine Chem., 2008, 129(7), 607–612 CrossRef CAS.
- H. Yamamoto, T. Kozawa and S. Tagawa, Study on dissolution behavior of polymer-bound and polymer-blended photo acid generator (PAG) resists by using quartz crystal microbalance (QCM) method, Microelectron. Eng., 2014, 129, 65–69 CrossRef CAS.
- Y. Wang, J. Yuan and J. Chen, et al., A single-component molecular glass resist based on tetraphenylsilane derivatives for electron beam lithography, ACS Omega, 2023, 8(13), 12173–12182 CrossRef CAS PubMed.
- H. An, J. Chen and Y. Zeng, et al., Increasing the sensitivity of nonchemically amplified resists by oxime sulfonate-functionalized polystyrene, ACS Appl. Polym. Mater., 2024, 6(9), 5374–5384 CrossRef CAS.
- Y. Zhang, J. Haitjema and M. Baljozovic, et al., Dual-tone application of a tin-oxo cage photoresist under E-beam and EUV exposure, J. Photopolym. Sci. Technol., 2017, 31(2), 249–255 CrossRef.
- X. Lu, H. Luo and K. Wang, et al., CO2-based dual-tone resists for electron beam lithography, Adv. Funct. Mater., 2020, 31(13), 2007417 CrossRef.
- X. Yuan, J. Chen and T. Yu, et al., Nonchemically amplified molecular resist based on multi-sulfonium modified triptycene for electron beam and extreme ultraviolet lithography, J. Micro/Nanopatterning, Mater., Metrol., 2024, 23(03), 034601 CAS.
- A. S. Abbas, M. Yavuz and B. Cui, Polycarbonate electron beam resist using solvent developer, Microelectron. Eng., 2014, 113, 140–142 CrossRef CAS.
- N. Zheng, H. Min and Y. Jiang, et al., Polycarbonate as a negative-tone resist for electron-beam lithography, J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom., 2018, 36(2), 021603 Search PubMed.
- P. Liao, P. Chen and Y. Tseng, et al., Partial decarboxylation of hafnium oxide clusters for high resolution lithographic applications, J. Mater. Chem. C, 2022, 10(41), 15647–15655 RSC.
- N. Thakur, R. Bliem and I. Mochi, et al., Mixed-ligand zinc-oxoclusters: efficient chemistry for high resolution nanolithography, J. Mater. Chem. C, 2020, 8(41), 14499–14506 RSC.
- K. J. Lawrie, I. Blakey and J. P. Blinco, et al., Chain scission resists for extreme ultraviolet lithography based on high performance polysulfone-containing polymers, J. Mater. Chem., 2011, 21(15), 5629 RSC.
- O. R. Wood, E. M. Panning and R. Del Re, et al., Low-LER tin carboxylate photoresists using EUV. In Extreme Ultraviolet (EUV) Lithography, Proc. SPIE, 2015, 9422, 942221 CrossRef.
- Z. Wang, J. Chen and T. Yu, et al., Sulfonium-functionalized polystyrene-based nonchemically amplified resists enabling sub-13 nm nanolithography, ACS Appl. Mater. Interfaces, 2023, 15(1), 2289–2300 CrossRef CAS PubMed.
- M. Yogesh, M. G. Moinuddin and M. Chauhan, et al., Organoiodine functionality bearing resists for electron-beam and helium ion beam lithography: complex and sub-16 nm patterning, ACS Appl. Electron. Mater., 2021, 3(5), 1996–2004 CrossRef CAS.
- X. Cui, S. Zhang and X. Cong, et al., A novel non-chemically amplified resist based on polystyrene-iodonium derivatives for electron beam lithography, Nanotechnology, 2024, 35(29), 295302 CrossRef CAS PubMed.
- X. Yao, P. Lian and J. Chen, et al., Iodonium functionalized polystyrene as non-chemically amplified resists for electron beam and extreme ultraviolet lithography, RSC Appl. Polym., 2024, 2(5), 870–879 RSC.
- J. Peter, M. Moinuddin and S. Ghosh, et al., Organotin in nonchemically amplified polymeric hybrid resist imparts better resolution with sensitivity for next-generation lithography, ACS Appl. Polym. Mater., 2020, 2(5), 1790–1799 CrossRef CAS.
- A. De Silva, N. M. Felix and C. K. Ober, Molecular glass resists as high-resolution patterning materials, Adv. Mater., 2008, 20(17), 3355–3361 CrossRef CAS.
- T. Nishikubo and H. Kudo, Recent development in molecular resists for extreme ultraviolet lithography, J. Photopolym. Sci. Technol., 2011, 24(1), 9–18 CrossRef CAS.
- M. Yoshiiwa, H. Kageyama and Y. Shirota, et al., Novel class of low molecular-weight organic resists for nanometer lithography, Appl. Phys. Lett., 1996, 69(17), 2605–2607 CrossRef CAS.
- D. Bratton, R. Ayothi and H. Deng, et al., Diazonaphthoquinone molecular glass photoresists: patterning without chemical amplification, Chem. Mater., 2007, 19(15), 3780–3786 CrossRef CAS.
- J. Liu, Z. Liu and L. Wang, et al., Single-component chemically amplified i-line molecular glass photoresist based on calix[4]resorcinarenes, Chin. Sci. Bull., 2014, 59(11), 1097–1103 CrossRef CAS.
- J. Benson, A. Stoltz and A. Kaleczyc, et al., Fullerene incorporation in DNQ novolak photoresist for increasing plasma etch resistance, Proc. SPIE, 2002, 4690, 1224–1227 CrossRef CAS.
- M. Somervell, P. Kulshreshtha and K. Maruyama, et al., Sub-20nm lithography negative tone chemically amplified resists using cross-linker additives, Proc. SPIE, 2013, 8682, 86820N CrossRef.
- K. Kojima, T. Hattori and H. Fukuda, et al., Negative-tone polyphenol resist using intramolecular-esterification reaction for sub-50 nm lithography, J. Photopolym. Sci. Technol., 2006, 19(3), 373–378 CrossRef CAS.
- Q. Lin, K. Kojima and T. Hattori, et al., Negative-tone polyphenol resist based on chemically amplified polarity change reaction with sub-50 nm resolution capability, Proc. SPIE, 2006, 6153, 61530G Search PubMed.
- Q. Lin, K. Kojima and S. Mori, et al., Material design of negative-tone polyphenol resist for EUV and EB lithography, Proc. SPIE, 2007, 6519, 6519D CrossRef.
- S. Hu, J. Chen and T. Yu, et al., Photoresists based on bisphenol A derivatives with tert-butyl ester groups for electron beam lithography, J. Photochem. Photobiol., A, 2023, 436, 114351 CrossRef CAS.
- J. Chen, Q. Hao and S. Wang, et al., Molecular glass resists based on 9,9 '-spirobifluorene derivatives: pendant effect and comprehensive evaluation in extreme
ultraviolet lithography, ACS Appl. Polym. Mater., 2019, 1(3), 526–534 CrossRef CAS.
- Y. Wang, J. Chen and Y. Zeng, et al., Molecular glass resists based on tetraphenylsilane derivatives: effect of protecting ratios on advanced lithography, ACS Omega, 2022, 7(33), 29266–29273 CrossRef CAS PubMed.
- S. Hu, J. Chen, T. Yu and Y. Zeng, et al., A novel dual-tone molecular glass resist based on adamantane derivatives for electron beam lithography, J. Mater. Chem. C, 2022, 10(26), 9858–9866 RSC.
- K. Fujisawa, H. Maekawa and H. Kudo, et al., Non-chemically amplified negative molecular resist materials using polarity change by EUV exposure, J. Photopolym. Sci. Technol., 2021, 34(1), 87–93 CrossRef CAS.
- N. Thakur, P. Reddy and S. Nandi, et al., New non-chemically amplified molecular resist design with switchable sensitivity for multi-lithography applications and nanopatterning, J. Micromech. Microeng., 2017, 27(12), 125010 CrossRef.
- Z. Wang, J. Chen, T. Yu and Y. Zeng, et al., A novel water developable tetraphenyltin-based nonchemically-amplified molecular resist for sub-13 nm lithography, RSC Appl. Interfaces, 2024, 1(3), 544–551 RSC.
- Y. Wang, J. Chen and Y. Zeng, et al., Nonchemically amplified molecular resists based on sulfonium-functionalized sulfone derivatives for sub-13 nm nanolithography, ACS Appl. Nano Mater., 2023, 6(19), 18480–18490 CrossRef CAS.
- S. Yasin, D. G. Hasko and H. Ahmed, Comparison of MIBK/IPA and water/IPA as PMMA developers for electron beam nanolithography, Microelectron. Eng., 2002, 61–62, 745–753 CrossRef CAS.
- T. Hattori, Y. Tsuchiya and R. Yamanaka, et al., Design, synthesis, and evaluation of a novel amphiphilic alicyclic polymer having γ-hydroxy acid structure, Chem. Mater., 1998, 10(7), 1789–1794 CrossRef CAS.
- Y. Tsuchiya, T. Hattori and R. Yamanaka, et al., Shiraishi Investigation of acid-catalyzed insolubilization reactions for alicyclic polymers with carboxyl groups, J. Photopolym. Sci. Technol., 1997, 10(4), 579–584 CrossRef CAS.
- C. A. D. S. Moura, G. K. Belmonte and P. G. Reddy, et al., EUV photofragmentation study of hybrid nonchemically amplified resists containing antimony as an absorption enhancer, RSC Adv., 2018, 8(20), 10930–10938 RSC.
- S. Nandi, M. Yogesh and P. G. Reddy, et al., A photoacid generator integrated terpolymer for electron beam lithography applications sensitive resist with pattern transfer potential, Mater. Chem. Front., 2017, 1(9), 1895–1899 RSC.
- R. Dussart, T. Tillocher and P. Lefaucheux, et al., Plasma cryogenic etching of silicon: from the early days to today's advanced technologies, J. Phys. D: Appl. Phys., 2014, 47(12), 123001 CrossRef.
- L. Chen, J. Xu and H. Yuan, et al., Outgassing analysis of molecular glass photoresists under EUV irradiation, Sci. China: Chem., 2014, 57(12), 1746–1750 CrossRef CAS.
Footnote |
† Electronic supplementary information (ESI) available: Synthesis and characterization of ADTPS, normalized remaining thickness analysis, lithographic performances of the ADTPS resist for PTD patterns by EBL, lithographic performances of the ADTPS resist in different HPs, and LER measurement of high-resolution SEM images. See DOI: https://doi.org/10.1039/d5lf00009b |
|
This journal is © The Royal Society of Chemistry 2025 |
Click here to see how this site uses Cookies. View our privacy policy here.