Getnet Kacha Deyu
*a,
Marc Wenskat
ab,
Isabel González Díaz-Palacioac,
Robert H. Blickc,
Robert Zierold
c and
Wolfgang Hillert
a
aInstitute of Experimental Physics, Universität Hamburg, Luruper Chaussee 149, D-22761, Hamburg, Germany. E-mail: getnet.kacha.deyu@desy.de
bDeutsches Elektronen-Synchrotron DESY, Notkestrasse 85 D-22607, Hamburg, Germany
cInstitute for Nanostructure and Solid State Physics & Center for Hybrid Nanostructures, Universität Hamburg, Luruper Chaussee 149, D-22761, Hamburg, Germany
First published on 9th May 2025
The development of superconducting thin films has opened new avenues in electronic and quantum technologies, offering potential breakthroughs in performance due to their unique properties such as zero electrical DC resistance and perfect diamagnetism. Atomic layer deposition (ALD) stands out as a highly precise and uniform technique for fabricating these films based on sequential, and self-limiting gas-solid surface reactions, offering distinct advantages over other deposition methods. This review explores the role of ALD in the production of superconducting thin films, highlighting its ability to control film thickness at the atomic level, ensuring superior uniformity and conformality. The fundamentals of superconductors and the ALD process are discussed, along with a review of various materials used in the field. It also examines the existing and potential applications of these films and considers future prospects and challenges in the field.
Wider impactThis article is expected to generate significant interest within the materials science community due to the rising focus on atomic layer deposition (ALD) as a precise method for fabricating superconducting thin films. ALD's ability to deposit films with atomic-scale precision enables unparalleled control over microstructure, which is critical for optimizing superconducting properties. Recent advancements have demonstrated ALD's potential for improving film uniformity, interface quality, and composition control, making it a transformative tool for applications in quantum computing and high-frequency electronics. Additionally, ALD's scalability and ability to coat complex geometries distinguish it from other deposition techniques, positioning it as a key enabler for the large-scale manufacturing of superconducting devices. Despite the growing research in this field, a comprehensive review on ALD for superconducting films is still lacking. This work fills that gap by providing a detailed overview of superconducting ALD processes, recent breakthroughs, and emerging applications. It also identifies critical challenges, such as material selection, deposition conditions, and integration with device architectures. By outlining future research directions, this review serves as a valuable resource for both academia and industry, guiding efforts toward next-generation superconducting technologies and shaping the future of materials science through ALD-driven innovations. |
Despite these challenges, thin film superconductors have found critical applications in various advanced technologies. They are essential in the fabrication of superconducting quantum interference devices (SQUIDs),1 which are used in highly sensitive magnetometers. In quantum computing, thin film superconductors are used to create qubits,2–4 the fundamental units of quantum information. These films are also employed in high-performance microelectronics,5 where they enable faster and more efficient components.
Recent advancements have focused on finding new materials that can sustain superconductivity at higher temperatures and in thinner films. In parallel, significant efforts are being directed towards enhancing the scalability and robustness of thin film superconductors, with proper fabrication techniques playing a crucial role in achieving these goals.6 Although challenges persist, the progress made to date has already driven significant advancements across various high-tech industries. As research continues, thin film superconductors are set to become integral to the future of quantum computing, energy-efficient technologies, medical imaging, and more.
Superconducting thin films require precise control over thickness, composition, and uniformity to achieve optimal performance. ALD has become a preferred method because it enables atomic-level precision and excellent conformality, even on complex geometries. Unlike traditional techniques such as sputtering or CVD, ALD allows for controlled material growth at low temperatures, thereby preserving the integrity and superconducting properties of sensitive films.
![]() | (1) |
![]() | (2) |
The Cooper pair interaction can be broken by thermal activation, leading to the concept of the superconducting energy gap (Δ). This gap represents the energy required to restore a superconducting electron to its normal state and is expressed at T = 0 as:
![]() | (3) |
Type I superconductors such as Pb, Hg, and Zn have a positive surface energy at the boundary, which results in a complete expulsion of magnetic fields (Meissner effect) until a critical field Hc is reached. Beyond Hc, they transition abruptly to a normal state, see Fig. 1(b) and (c).
Type II superconductors, such as Nb, TiN, NbN, and NbTiN, have a negative surface energy, making it favorable to form superconducting-normal boundaries. They fully expel the magnetic field up to a lower critical field Hc1, then enter a mixed state where magnetic flux vortices form. The density of these vortices increases until the superconducting state is destroyed at the upper critical field Hc2, see Fig. 1(c).
![]() | (4) |
The distinction between the two types of superconductors is as follow:
![]() | (5) |
![]() | (6) |
Eqn (6) is valid for temperatures in the range of 0 ≤ T ≤ Tc.
Rs = RBCS(T) + Rres | (7) |
The BCS surface resistance (RBCS) turns out to be a temperature and frequency dependent. In contrast, the residual surface resistance (Rres) is temperature-independent and arises from parasitic losses, though its precise origin remains unclear. Both intrinsic factors—such as non-ideal surface quality, metallic inclusions, surface oxides, and grain boundaries—and accidental mechanisms, like particulates, chemical residues, or surface defects, contribute to these losses. Additionally, extrinsic factors, such as flux trapped during cooling, play a role. Given the diversity of contributing phenomena, Rres cannot be predicted with a single formula. However, empirical studies suggest that Rres is at least proportional to rn, the normal-state resistance. Between two materials with identical BCS resistance, the one with a lower rn typically exhibits smaller residual resistance.
![]() | ||
Fig. 2 Schematic of ALD process for superconducting TiN films. (a) Substrate surface has natural functionalization or is treated to functionalize the surface. (b) Precursor A (TiCl4) is pulsed and reacts with surface. (c) Excess precursor and reaction by-products are purged with inert carrier gas. (d) Precursor B (NH3 or H2/N2-plasma) is pulsed and reacts with surface. (e) Excess precursor and reaction by-products are purged with inert carrier gas. (f) Steps 2–5 are repeated until the desired material thickness is achieved. Adopted from ref. 25. Copyright 2014, Elsevier Publishing. |
ALD processes are usually conducted at moderate temperatures (below 350 °C).25 The specific temperature range where growth is saturated is known as the “ALD temperature window” and varies depending on the process.19 Operating outside of this window can lead to poor growth rates and non-ALD-type deposition due to issues such as slow reaction kinetics or precursor condensation at low temperatures, and thermal decomposition or rapid desorption of the precursor at high temperatures. To maximize the advantages of ALD, it is crucial to operate within the designated ALD temperature window for each deposition process.19,25
There are several types of ALD processes, each optimized for different applications and materials. Below are some commonly used ALD methods, as well as others that show potential for application in the field of superconductivity, along with brief descriptions. The primary properties and superconducting materials deposited by these ALD techniques are summarized in Fig. 3.
Technique | Deposition principle | Growth control | Uniformity | Conformality | Deposition rate | Temp. range (°C) | Cost | Film quality | SFf |
---|---|---|---|---|---|---|---|---|---|
a ALD – atomic layer deposition.b CVD – chemical vapor deposition.c MBE – molecular-beam epitaxy.d PLD – pulsed laser deposition.e Arc-PVD – cathodic arc deposition.f SF – selected superconducting films grown by the technique. | |||||||||
ALDa | Self-limiting surface reactions | Atomic level precision | Excellent | Excellent | Slow | RT-400 | High | High | NbN, TiN, MoN5,30–33 |
Sputtering | Plasma based physical deposition | Moderate | Good | Poor | Medium | RT-800 | Medium | High | NbN, MgB2, Nb3Sn49–51 |
CVDb | Gas phase chemical reaction | Moderate | Good | Good | High | 300–1100 | High | High | BSCCO, MgB252–54 |
MBEc | Atom by atom deposition in UHV | Atomic level | Excellent | Moderate | Slow | 200–800 | Very high | Very high | MgB2, SrFeAs55,56 |
Spray pyrolysis | Aerosol precursor decomposition | Poor | Poor | Moderate | Medium | 200–600 | Low | Low-medium | MgB2,57 YBCO46,58 |
Spin coating | Solution based film spreading | Poor | Moderate | Poor | Fast | RT-500 | Low | Low | YBCO59,60 |
PLDd | Laser ablation of target | Good | Good | Moderate | Medium | 300–900 | High | High | FeSe/STO, Nb61,62 |
Dip coating | Solution immersion | Poor | Poor | Poor | Fast | RT-300 | Low | Low | YBCO63 |
Arc-PVDe | Cathodic arc vaporization | Moderate | Moderate | Poor | High | 200–800 | Medium | High | BSCCO, Pb, Nb64,65 |
Femi-Oyetoro et al.77 reported a superconducting TiN films grown by PEALD using tetrakis(dimethylamido)titanium (TDMAT) as the precursor and a nitrogen/argon mixture for the plasma step with a deposition temperature of 300 °C. In order to quantify the purity of grown films, they performed Secondary Ion Mass Spectrometer (SIMS) measurement, see Fig. 4(a). SIMS quantify the following impurities, H, C, and O levels of approximately 2.5%, 1%, and 0.3%, respectively. X-ray Photoelectron Spectroscopy (XPS) depth profiling indicated an average Ti:N ratio of 0.95. In addition, they examined the crystalinity of deposited films by Grazing Incidence-X-ray Diffraction (GI-XRD), see Fig. 4(b). The film show a crystalline structure with a preferred (111) orientation, with a measured lattice constant of 4.283 Å. High-resolution transmission electron microscopy (HRTEM) of an 80 nm TiN film showed columnar grain structures with grain widths up to 60 nm, see Fig. 4(da). X-ray diffraction (XRD) estimated crystallite sizes in various directions as 20 nm, 10 nm, 8 nm, and 7 nm. The overall film exhibited a smooth, multilayer structure, composed of a silicon nitride (SiNx) layer, a TiN nucleation layer, and a bulk TiN layer, as observed in the scanning transmission electron microscopy (STEM) image in Fig. 4(db). Furthermore, a 2 nm SiNx interface layer was detected between the TiN film and the silicon wafer, which was confirmed through energy-loss spectroscopy (EELS), Fig. 4(dc–f) and energy-dispersive spectroscopy (EDS), Fig. 4(dg). The formation of this interface layer was linked to the incubation period associated with nitrogen plasma exposure, which likely promotes silicon nitridation, a common phenomenon in nitrogen-based TiN growth processes. Fig. 4(c) compares the Tc between PEALD and sputtered TiN, with values of 4.35 K and 4.0 K, respectively. They attribute the lower Tc in their sputtered TiN to surface oxidation, intergranular voids, and a reduced N ratio.77
![]() | ||
Fig. 4 TiN films grown by PEALD using TDMAT as the precursor and a nitrogen/argon mixture for the plasma step with a deposition temperature of 300 °C (a) SIMS spectra reveal contamination and composition profiles, displaying atomic concentrations of H, C, O, N, and Ti in an 80 nm PEALD TiN film. The TiN number density (1023 atoms per cc) serves as the basis for conversion to atom% units. (b) GI-XRD scan identifies the phase, with the inset showing the preferential (111) orientation at 2θ 36.5° of an 80 nm PEALD TiN film. (c) Resistance vs. temperature on 40 nm PEALD and sputtered TiN films demonstrates Tc values of 4.35 and 4.0 K, respectively.77 Copyright 2011, AIP Publishing. TiN films also grown by PEALD using TDMAT and N2 plasma as the precursor with a deposition temperature of 270 °C (e) Resistivity as a function of temperature for various TiN film thicknesses given by their quantities of ALD cycles. (f) SIMS of TiN film grown by ALD for 1250 cycles.38 Copyright 2018, AIP Publishing. TiN films grown via thermal ALD using TiCl4 and NH3 precursors with different deposition temperatures. (g) Ti/N atomic ratio and % of Cl contamination measured in XPS, as a function of film thickness. (h) growth rate of films grown at 350 and 450 °C extracted from XRR measurements. (i) Tc vs. sheet resistance for the films grown at 350 and 450 °C. (j) Tc vs. film thickness for the films grown at 350 and 450 °C.26 Copyright 2013, JACOW Publishing. |
The superconducting TiN films grown by PEALD using TDMAT and N2 plasma as the precursors, with a deposition temperature of 270 °C on hydrogen-terminated Si substrate, have been reported by Shearrow et al.38 Fig. 4(e), along with Table 2, illustrates the evolution of the Tc as a function of the number of ALD cycles and corresponding film thickness. The resistivity (ρ) plots reveal that films with more ALD cycles, and therefore thicker films, exhibit higher Tc values. The table provides data extracted from Fig. 4(e), showing that as the film thickness increases from 5.6 nm (62 cycles) to 109 nm (1250 cycles), the Tc rises from ≈3.01 K to 4.62 K. The resistivity behavior in the figure matches these trends. This is attributed to disorder enhanced Coulomb repulsions.80,81 Notably, the thinnest film (62 cycles, 5.6 nm), shown in the bottom plot, does not exhibit a clear superconducting transition, reflecting the absence of a measurable Tc. Nevertheless, other groups27,80 have reported on the successful fabrication and characterization of superconducting TiN films using ALD, achieving thicknesses below 8.9 nm while maintaining superconducting properties. Additionally, Hossain et al.78 reported slightly lower Tc of 3.22 K for 60nm thick TiN film deposited using the same precursors but at elevated deposition temperature of 350 °C, emphasizing the significant role that deposition conditions play in determining the superconducting properties of TiN films.
Cycles | d (nm) | Tc (K) |
---|---|---|
62 | 5.6 | — |
100 | 8.9 | 3.01 |
125 | 10.7 | 3.17 |
187 | 14.2 | 3.63 |
300 | 25.79 | 3.76 |
625 | 49.8 | 4.05 |
1250 | 109 | 4.62 |
Additionally, SIMS analysis of a 1250-cycles thick TiN film, as shown in Fig. 4(f), reveals that the concentrations of H, C, and O remain relatively stable, with variations of less than a few percent throughout the entire thickness of the TiN film.78 This indicates that the impurity levels are consistent across the film, demonstrating a controlled deposition process with minimal fluctuation in impurity content.
Proslier,26 reported superconducting TiN films grown by thermal ALD using TiCl4 and NH3 precursors. The study compares the properties of TiN films processed at two different temperatures: 350 °C and 450 °C, with characterization performed through DC electrical measurements and X-ray techniques. Fig. 4(g) illustrates the elemental composition of the films grown at different temperatures as a function of film thickness, particularly focusing on the ratio of titanium to nitrogen (Ti/N) and the concentration of chlorine (Cl). The Ti/N ratio, denoted on the left axis, appears to stabilize around 1 for both deposition temperatures. Meanwhile, films deposited at 350 °C show 2% chlorine impurities (denoted on the right axis), while the films deposited at 450 °C show near zero Cl impurity, suggesting that higher temperatures result in films with fewer impurities and a more stable stoichiometric composition. The XRR plot reveals linear growth trend indicating consistent film deposition as cycles increase with growth rates of 0.33 Å per cycle for the films deposited at 450 °C and 0.23 Å per cycle for films grown at 350 °C, see Fig. 4(h). Fig. 4(i) depict the sheet resistance as a function of temperature for films deposited at 450 °C (left) and 350 °C (right). For both temperatures, multiple curves are shown, corresponding to different thicknesses (given by cycle numbers). At 450 °C, thicker films show a sharper decrease in sheet resistance as temperature drops, indicating a clear superconducting transition, while thinner films have higher residual resistance and a more gradual transition. Films grown at 350 °C exhibit higher sheet resistance and a less pronounced superconducting transition, especially for thinner films. Higher deposition temperatures result in better electrical properties, as shown by lower resistance and sharper transitions at 450 °C. Fig. 4(j) shows Tc values for both temperatures, with Tc peaking at intermediate thicknesses before slightly declining. Films deposited at 450 °C exhibit higher Tc values, reaching a maximum of 3.8 K, compared to 1.6 K (Grigoras et al.79) and 3.4 K (Jhabvala et al.5) under similar conditions. Films deposited at 350 °C have a lower Tc maximum of 2.75 K. This suggests that higher deposition temperatures result in films with superior superconducting properties. In conclusion, higher deposition temperatures (450 °C) produce thicker films with fewer impurities, better compositional control, and enhanced superconducting properties compared to those deposited at 350 °C.
The research conducted by Groll et al.,26,33 offers detailed insights into the fabrication and superconducting properties of MoN thin films grown using thermal ALD. In this process, MoCl5 and NH3 were employed as chemical precursors, and the films were deposited at a relatively high temperature of 450 °C. The authors investigated how the structural and superconducting characteristics of MoN films evolved with increasing the number of ALD cycles, which directly correlate with film thickness. Additionally, the study explores the effects of introducing elemental zinc during the ALD process, which offers further insights into material optimization for enhanced film quality.
The XRD analysis reveals a significant improvement in the crystallinity of the MoN films as the number of ALD cycles increases, ranging from 120 to 800 cycles, see Fig. 5 (left). As more cycles are performed, the diffraction peaks in the XRD patterns become sharper and more intense, indicating enhanced structural order. The peaks correspond to the (200) and (202) crystallographic planes, which are indicative of the δ-MoN with Tc ∼ 4 K and γ-Mo2N with Tc ∼ 12 K phases, respectively.26 At higher number of ALD cycles, the films exhibit better-defined phase separation and crystallinity, as evidenced by the intensity and sharpness of the peaks at 800 cycles, suggesting that extended deposition enhances the formation of these phases.26
![]() | ||
Fig. 5 (left), GIXRD: evolution of structure with thickness for the films deposited at 450 °C (b), Tc as a function of film thickness and the number of ALD cycles26,33 Copyright 2013, JACOW Publishing. |
The evolution of Tc is shown to be closely linked to the film thickness, which increases with the number of ALD cycles, see Fig. 5 (right). Films with fewer cycles, and thus lower thicknesses, tend to exhibit lower Tc values, which can be attributed to insufficient crystallinity and incomplete phase development at these early stages of growth. As the number of ALD cycles increase and the films grow thicker, the crystallinity improves and the desired phases become more fully realized, resulting in a rise in Tc. The critical temperature eventually stabilizes within a range of 8–12 K at higher thicknesses (60–70 nm), reflecting the establishment of a robust superconducting phase. The shaded region in the Fig. 5 (right) indicates that beyond a certain threshold thickness, Tc no longer significantly increases and plateaus. This suggests that optimal superconducting properties are achieved once the film reaches a certain level of thickness (30 nm) and crystalline quality.26
Further investigation by Proslier et al.94 into the impact of introducing elemental zinc during the ALD process reveals additional improvements in key material properties such as phase purity, density, and Tc. While XRD analysis revealed that both Zn-free and Zn-containing films exhibited the same γ-Mo2N phase, the Zn introduction caused a shift in the preferred crystal orientation from (100) to (101), which may have implications for the films structural and electronic properties.94 Despite no detectable change in stoichiometry or chlorine contamination, with Mo/N ratios remaining consistent and Cl concentrations below the detection limit, the film density increased from 8.1 to 8.6 g cm−3, suggesting that Zn improves packing efficiency or reduces defects. This was accompanied by an enhancement in Tc, potentially due to Zn's role in removing hydrogen impurities that can negatively impact superconductivity. Additionally, the room temperature resistivity decreased, further indicating improved film quality. These results suggest that Zn improves the purity, density, and superconducting properties of MoN films without altering their chemical composition.94
Sowa et al.104 reported on the influences of deposition temperature, H2 flow rate and plasma power on the Tc of NbN films grown by PEALD using TBTDEN and H2/N2 plasma. The relationship between deposition temperature and the resistivity (ρ) as well as Tc of NbN films is described in Fig. 6(a). The resistivity starts at a high value of 3118 μΩ cm at 100 °C and decreases significantly to 183 μΩ cm at 300 °C. Meanwhile, the Tc rises from 6.4 K at 150 °C to 13.7 K at 300 °C, with no superconducting transition observed for the film deposited at 100 °C. A similar trend was reported by Ziegler et al.,100 where the resistivity of NbN films dropped from 750 μΩ cm at 200 °C to 250 μΩ cm at 400 °C, and Tc increased from 4.5 K to 10.2 K for 40 nm thick films deposited with a plasma time of 50 seconds.
![]() | ||
Fig. 6 The influences of deposition temperature, H2 flow rate and plasma power on the Tc of NbN films grown by PEALD using TBTDEN and H2/N2 plasma. Room temperature resistivity and Tc as a function of the (a) substrate temperature at 80 sccm H2 and 300 W, (b) H2 flow rate at 300 °C and 300 W, and (c) plasma power at 300 °C and 80 sccm H2.104 Copyright 2017, AIP Publishing. (d) Tc of NbN samples as a function of thickness, with deposition temperature noted.99 Copyright 2020, Springer Publishing. (e) NbN films prepared from TBTDEN and N2/H2 plasma at the substrate temperature of 300 °C and the plasma power of 300 W. Tc of the NbN films vs. the thickness. Inset: Resistance of three NbN films vs. temperature showing the superconducting transition. The resistance is normalized by the room temperature value R300K.102 Copyright 2020, AIP Publishing. (f) Tc versus measured film thickness, d, for thickness series. Inset: Normalised resistance resistance/room temperature resistance ((R/RT))) versus temperature for d = 5.9 nm and d = 29.8 nm films.103 Copyright 2017, IOP Publishing. NbN films deposited by PEALD using TBTDEN as precursor and hydrogen radical for the plasma step with a deposition temperature 380 °C. (g) Distribution of critical temperature, (h) superconducting transition width, (i) residual resistivity at 25 K, and (j) switching current density at 4.2 K over the samples position on the wafer along the x- and y-axis. The measurements were conducted on microbridges of 1 μm width and 6.1 nm thickness (see the scanning electron micrograph in the inset). The vertical dashed lines illustrate wafer edges of the denoted sizes.29 Copyright 2021, AIP Publishing. |
For NbN films grown at 300 °C and 300 W plasma power, increasing the H2 flow rate from 10 to 40 sccm resulted in lower resistivity and higher Tc, as shown in Fig. 6(b). However, when the H2 flow was doubled from 40 to 80 sccm, there were slight increases in both resistivity and Tc. Consequently, the lowest resistivity (173 μcm at 40 sccm H2) and the highest Tc (13.7 K at 80 sccm H2) observed in the study did not occur simultaneously, which they attributed to a difference in grain size and oxygen contamination.100,104
The influence of plasma power on room temperature resistivity and Tc was also studied at a constant deposition temperature of 300 °C and H2 flow rate of 80 sccm.104 As shown in Fig. 6(c), increasing plasma power slightly improved both resistivity and Tc. The authors suggested that plasma power might have a more pronounced effect if the deposition temperature and H2 flow rate were further away from their optimal values.104
Sheagren et al.99 studied the influence of deposition temperature (for 250 °C and 300 °C) and film thickness on the Tc of NbN films deposited by PEALD using TBTDEN and Ar-plasma as precursor materials and plasma power of 300 W, see Fig. 6(d). Films grown both at 250 °C and 300 °C exhibited crystalline structure. The Tc increases with both film thickness and deposition temperature. For thinner films around 50 Å, Tc is relatively low, around 7 to 8 K, but it rises as thickness increases, reaching values above 10 K for films thicker than 150 Å. Films deposited at 300 °C generally exhibit higher Tc values compared to those deposited at 250 °C, with the maximum Tc = 10.87 K at 300 °C and around 250 Å thickness. This indicates a strong correlation between thickness, deposition temperature, and the superconducting properties of NbN films. However, the maximum Tc reported in this study is lower than other ALD NbN processes.101,102,104
The superconducting properties of NbN films prepared from TBTDEN and N2/H2 plasma at the substrate temperature of 300 °C, growth rate of 0.68 Å per cycle and the plasma power of 300 W has been reported by Cheng et al.102 The study focuses on the relationship between Tc of the NbN films and their thickness, as illustrated in Fig. 6(e). As anticipated by other researches, a higher Tc is observed for thicker films, reaching a saturation point of 13.3 K at a thickness of 61 nm (900 cycles).102 The inset of Fig. 6(e) provides a closer look at the resistance vs. temperature curves around the superconducting transition region. The remarkably sharp transition observed in the 900-cycles film, with a transition width of only 0.15 K (90% to 10% of resistance), indicates a high level of homogeneity and uniformity in the deposited film.102 In contrast, the 130-cycles and 140-cycles films exhibit a reduced Tc around 8 K and a broader transition width of 1.7 K, suggesting less uniformity in those samples.102
The superconducting properties of NbN films grown from TBTDEN precursor with Ar/H2 plasma with lower deposition temperature of 250 °C is reported by Lennon et al.,103 see Fig. 6(f). As the thickness increases, Tc also rises, nearing a saturation point of 13.5 K for films with a thickness of 29.8 nm. In the ultrathin regime, films exhibit Tc values of 10.2 K for a 5.9 nm thickness and 11.0 K for 8.0 nm. These Tc values are higher to counter reported sputtered NbN films which show Tc values of 8.1 K and 10.3 K for 9 nm films.106 As film thickness decreases, superconducting transition width (ΔTc) increases, indicating reduced uniformity,103 but the PEALD films still show narrower transition widths (0.8 K) compared to sputtered films (1.4 K).106
Knehr et al.29 investigated the wafer-level uniformity of superconducting properties in NbN films deposited via PEALD using the TBTDEN precursor and hydrogen radicals during the plasma step, with a deposition temperature of 380 °C. The uniformity of several superconducting metrics across the NbN film is shown in Fig. 6(e)–(j), revealing minimal variation over a 2-inch diameter wafer. Electrical parameters such as resistivity and critical temperature show only slight deviations near the wafer center. However, as distance from the center increases, especially towards the edges of a 4-inch wafer, a degradation in film quality is observed. At these edges, resistivity increases by an average of 53%, and the switching current density decreases by 45%. The Tc, however, is more stable across the deposition area, showing only a 10% reduction near the edges. They attributed this stability to Tc being determined by contiguous, high-quality regions within the microbridge.29 In contrast, the transition width (ΔTc) provides a better measure of film homogeneity as it reflects the spread of superconducting properties across various regions. Moving from the center to the edge of the 4-inch wafer, ΔTc increases by a notable percentage, indicating reduced homogeneity in different grains and regions of the film. Within the more uniform central region, the Tc aligns with other reports for similar deposition parameters and thicknesses on sapphire substrates.107 However, for other metrics, ALD-NbN films deposited on thermal oxide appear to perform slightly better as superconducting materials, showing a 20% higher switching current density and lower resistivity and transition width by comparable percentages. When compared to slightly thicker ALD-NbN films deposited on SiN, as reported by Cheng et al.,102 the results were similar in most parameters, although Tc was ≈2–3 K higher and the transition width slightly lower (by about 0.3 K).107 The discrepancies could be attributed to differences in deposition temperatures (380 °C vs. 300 °C), plasma gas compositions (pure hydrogen vs. mixed nitrogen/hydrogen), and substrate materials (thermally oxidized silicon vs. LPCVD-grown SiN).102,107
There are only few reports on superconducting NbN films grown by thermal ALD, likely due to their inferior superconducting properties compared to those grown by PEALD. Most efforts in thermal ALD have focused on using NbCl5 and NH3 process chemistry.94,95,97,98 One approach to improve the superconducting properties of thermal ALD NbN films involves introducing Zn vapor between the NbCl5 and NH3 pulses. This technique has been shown to reduce room-temperature resistivity by nearly a factor of two.95,96 Moreover, adding an intermediate Zn pulse increased the Tc of thermal ALD NbN films deposited at 450 °C from 3 K to 5 K.94
The superconducting properties of NbTiN films fabricated by PEALD using TBTDEN and TDMAT precursors as Nb and Ti sources and H2/N2-plasma at a deposition temperature 250 °C is reported by Gonzalez et al.40 The Tc and ΔTc of as deposited films as a function of Nb content on NbTiN thin films with eight different compositions is shown in Fig. 7(a). The Tc increases with increasing Nb content in the composition and the maximum Tc of 7 K is reported for Nb0.66Ti0.33N composition, but decreased for the highest Nb concentrations. These findings contradict other studies, where Tc either increases monotonically110 or stabilizes111 for Nb concentrations higher than the ratio 1:
1. The observed lower Tc may stem from the reduced deposition temperature of 250 °C compare to others that exceed 300 °C.34,110 Higher deposition temperatures may induce the growth of denser and more crystalline films leading to higher Tc, whereas a deficit or excess of nitrogen can impact Tc.6 The transition width, remains nearly constant up to the maximum observed Tc, after which it increases slightly but noticeably. Despite this slight increase, all studied concentrations of the ternary compounds exhibit a sharp superconducting transition, with a ΔTc of less than 0.3 K. This narrow transition width is a strong indication of high compositional homogeneity and the excellent quality of the films.40 The Nb0.75Ti0.25N film composition was chosen to investigate the superconducting properties across different film thicknesses, ranging from 6 to 74 nm. The thinnest film does not exhibit a superconducting transition. However, as the thickness increases, the Nb0.75Ti0.25N films become superconducting, with Tc rising and the transition becoming sharper, see Fig. 7(b). Post-deposition annealing was employed to enhance film quality by promoting grain growth, recrystallization, or reducing defects, thereby improving the superconducting critical temperature Tc. Two different thermal treatments were applied to Nb0.75Ti0.25N thin films of varying thicknesses, followed by measurements of their resistance as a function of temperature. From the analysis of the thickest film (74 nm), as shown in Fig. 7(c), two key observations can be made: first, the resistance at low temperatures decreases, and second, Tc shifts to significantly higher values.40 Additionally, the room-temperature resistivity is similar for both rapid thermal annealing (RTA) and slow thermal annealing (STA) at this thickness, which suggests that the STA treatment increases the RRR of the film.40 Notably, the thinnest film (6 nm) becomes superconducting after both annealing procedures, with Tc exceeding 10 K, as seen in Fig. 7(d). This indicates that the as-deposited thinnest film is likely amorphous, and only after thermal treatment it does become polycrystalline, thereby exhibiting superconductivity. In contrast, thicker films (≥10 nm) are already polycrystalline in their as-deposited form and display a superconducting transition. It is important to note that while Tc increases with film thickness after RTA, it stabilizes for films thicker than 25 nm after STA. Overall, both post-deposition thermal treatments significantly improve the properties of the films, with STA yielding a better outcome, achieving a maximum Tc of 15.9 K—the highest value reported to date for both thermal ALD and PEALD techniques. In-plane DC magnetization studies on the thickest Nb0.75Ti0.25N film were performed using a vibrating sample magnetometer (VSM). Fig. 7(e) shows magnetization difference (Δm) vs. magnetic field (H) curves, with the first penetration field Hfp determined for six temperatures (9–14 K). Since Hfp underestimates Hc1, demagnetization effects (N = 0.68) were considered for accurate evaluation. Measurements allowed determination of the Hc1(T) trend for as-deposited and annealed films. Fig. 7(f) presents μ0Hc1 vs. 1 − (T/Tc)2, with μ0Hc1 at 2 K obtained via linear fit: 15 mT for as-deposited, increasing to 81 mT (RTA) and 98 mT (STA),40 aligning with previous reports on PEALD NbTiN films.110,112
![]() | ||
Fig. 7 (a) Tc and ΔTc as a function of Nb content on NbTiN thin films with eight different compositions. Solid lines represent a polynomial fit as a guide to the eye. (b) Tc in black and ΔTc in red as a function of Nb0.75Ti0.25N thin film thickness. (c) Resistance as a function of temperature for the thickest Nb0.75Ti0.25N thin film as-deposited (black), and RTA-(red) and STA-treated (blue). (d) Tc as a function of the thickness for as-deposited (black), and RTA-(red) and STA-treated (blue) films. (e) Magnetization curve after subtracting the Meissner line as a function of the applied magnetic field for six different temperatures for the thickest Nb0.75Ti0.25N thin film STA-treated. The red dashed line marks the criterion Δm = 1.810−5 (emu). (f) Δμ0Hc1 vs. 1 − (T/Tc)2 for the thickest Nb0.75Ti0.25N thin-film as-deposited, and RTA- and STA-treated. The linear fit functions are given in the same color-code. The geometrical effect on the evaluation of Hc1 has been taken into account with a demagnetization factor N = 0.68.40 Copyright 2023, AIP Publishing. NbTiN films deposited using thermal ALD with alternating cycles of NbN and TiN using NbCl5, TiCl4 and NH3 precursors at 450 °C. (g) The chemical composition of NbTiN films obtained from XPS analysis as a function of the ALD ratios of NbN and TiN cycles (in red). The dashed line presents the expected composition from the TiN and NbN ratios used in the ALD recipe. (h) Tc of the NbTiN films before and after different annealing treatments measured on sapphire substrates as a function of the Ti content.34,113 Copyright 2023, JACOW Publishing. |
Kalboussi et al.34,113 reported the deposition of NbTiN films using thermal ALD with alternating cycles of NbN and TiN, employing NbCl5, TiCl4 and NH3 as precursors at 450 °C. They measured the average titanium and niobium content across the depth profile of the films and analyzed it as a function of the Ti/(Ti + Nb) ratio in the ALD recipe, as shown in their XPS data of Fig. 7(g). The results demonstrated that increasing the number of TiN cycles in the ALD process led to a rise in the Ti/(Ti + Nb) content, following a power-law trend rather than a linear one. Notably, the chemical composition only exceeded a Ti/(Ti + Nb) value of 0.13 when the ALD ratio was above 0.7, consistent with density measurements that showed films with a Ti/(Ti + Nb) ALD ratio below 0.7 had a density similar to pure NbN. To achieve a 25% titanium content, an ALD recipe with 11 TiN cycles and 1 NbN cycle, corresponding to a Ti/(Ti + Nb) ALD ratio of 0.92, was required. They attributed this non-linear behavior to the use of chloride precursors, as films deposited with organometallic precursors like TDMAT and TBTDEN exhibit a linear trend,40 whereas chloride-based precursors suggest that Ti etching occurs during ALD growth.34,113 The as-deposited NbTiN films exhibited low Tc, ranging from 7 to 8 K, significantly lower than the typical 17 K for NbTiN, as summarized in Fig. 7(h). Despite increasing the Ti content, no clear trend in Tc was observed, although resistivity decreased. Structural analysis using XRD and Rutherford Backscattering Spectrometry (RBS) revealed that the films were nitrogen-rich due to the reactions of NbCl5 and TiCl4 with NH3 leading to smaller lattice constants and reduced Tc.34,113 Contrary to the belief that Ti stabilizes the cubic phase of NbN to achieve higher Tc, their ALD-grown films with up to 25% Ti still showed small lattice constants and low Tc values. To address these limitations, they performed post-deposition heat treatments in a vacuum oven, significantly enhancing Tc from 8 K to 15.5 K34,113 and lowering the resistivity of the films, approaching the highest ALD-reported Tc of 15.9 K.40
In an attempt to improve the superconducting response of thermal ALD NbTiN films, zinc (Zn) is added during the growth process, significantly influencing their Tc.31 The incorporation of Zn enhances several key properties of the films, including density and surface roughness, while notably increasing Tc. This effect is particularly pronounced when comparing films with varying Nb/Ti ratios, with an optimal Tc of 12 K achieved at a Nb/Ti ratio of 2, despite TiN being a low-temperature superconductor with a Tc of only <5 K.26,38,77 Interestingly, pure NbN films grown with Zn exhibit a Tc of only 5 K,31 much lower than the expected 16 K for pure NbN. This suggests that Zn indirectly affects Tc by promoting the segregation of impurities, such as hydrogen, with Ti acting as a getter. As the hydrogen content decreases with increasing Ti concentration, Tc improves, doubling from 5 K to 12 K as hydrogen levels drop from 40% to 10%. Therefore, Zn plays a critical role in enhancing the superconducting properties of NbTiN films by improving purity and stabilizing the films structure.31
Bertuch et al.41 reported the synthesis of superconducting molybdenum carbonitride (MoCxNy) films using PE-ALD with the precursor (tBuN)2(NMe2)2Mo and H2/N2-plasma at deposition temperatures between 80 °C and 300 °C. By adjusting these parameters, they controlled the composition and properties of the films. Their study focused on understanding how plasma composition and temperature influenced the chemical structure, as well as the electrical, optical, and superconducting properties of the films, particularly highlighting the correlation between composition and performance. The XPS analysis revealed that the composition of the molybdenum carbonitride films varied significantly based on the nitrogen percentage in the N2/H2 gas mixture and the deposition temperature. Increasing the N2 concentration resulted in a higher proportion of Mo–N bonds and a decrease in Mo–C bonds.41 Conversely, at higher deposition temperatures with a plasma gas mixture of 5.9%N2 in H2, nitrogen incorporation decreased while carbon content increased, suggesting that elevated temperatures enhance carbon ligand removal from the precursor, promoting Mo–C bond formation. Thus, the interplay between plasma composition and deposition temperature significantly influences the films' chemical structure and stoichiometry. The study also examined the electrical and optical properties of the films, such as resistivity, refractive index, and dielectric constant, based on plasma exposure time, nitrogen concentration, and deposition temperature. Films deposited at 150 °C with 11%N2 in H2 exhibited decreased resistivity with longer plasma exposure, indicating enhanced conductivity, as shown in Fig. 8(a). Increasing N2 concentration at this temperature with 40 seconds of plasma exposure at 300 W, also reduced resistivity, likely due to increased Mo–N bond formation, while the refractive index and dielectric constant increased, suggesting denser films Fig. 8(b). Similarly, resistivity decreased with higher deposition temperatures, particularly between 80 °C and 150 °C, stabilizing at elevated temperatures, which also correlated with increases in refractive index and dielectric constant Fig. 8(c). These findings underscore the ability to finely tune the electrical and optical properties of MoCxNy films for advanced electronic and optoelectronic applications. They reported a Tc of 8.8 K for the molybdenum carbonitride film with a composition of MoC0.45N0.08, deposited at 150 °C Fig. 8(d). This value is consistent with the range of Tc values reported for molybdenum carbide deposited using other deposition technique, which typically falls in the range 5.1–12 K.119–121 The superconducting properties were closely linked to the film's composition, particularly the ratio of carbon to nitrogen, and the deposition conditions, emphasizing the importance of precise control over the synthesis process to achieve desired superconducting characteristics.
![]() | ||
Fig. 8 Resistivity, refractive index, and dielectric constant of MoCxNy films as a function of (a), the plasma exposure time for 150 °C deposition with 11%N2 in H2. (b) percentage of N2 in H2 with 40 s, 300 W plasma for 150 °C deposition. (c) Deposition temperature with 5.9%N2 in H2, 40 s, 300 W plasma. (d) Superconductivity measurement of 150 °C PE-ALD deposition with 100%H2, 40 s, 300 W plasma.41 Copyright 2017, AIP Publishing. (e) (top) Atomic ratios measured by XPS (closed symbols), with guides to the eye, and EBS (open symbols). (bottom) Lateral grain size (black) and RMS surface roughness (red) of TaCxN1−x films of 19 nm thickness for various applied RF bias powers. (f) Top-view HAADF-STEM images for films prepared with 0 and 20 W RF bias power and cross-sectional HAADF-STEM images for films prepared with 20 and 35 W RF bias power, where the capping layer is prepared by electron beam-induced deposition. The values of film thicknesses, varying between 11 and 35 nm. (g) (top) Critical temperature for the films prepared with 20 W RF bias power for a range of film thicknesses. The inset shows the superconducting transition recorded for the 18 nm film. (bottom) Dependence of the Tc of 19 nm thick films on the RF bias power. At low bias powers, the error bars indicate that no superconducting transition was measured above a temperature of 2.4 K.122 Copyright 2023, AIP Publishing. |
Peeters et al.122 investigated the deposition of superconducting TaCxN1−x thin films using PEALD. The deposition process involved alternating exposures to a tantalum precursor (TBTDMT) and a N2 plasma source, with the use of Ar as a carrier gas. A key factor in controlling film properties was the application of RF bias during the N2 plasma step. The ion energy was controlled via substrate biasing, where a second radio frequency (13.56 MHz) power supply was connected to the substrate table. By varying the biasing power, the voltage over the plasma sheath was adjusted, enhancing the energy of ions impacting the film. Bias powers between 0 W (grounded table) and 35 W were used, resulting in average ion energies ranging from 20 to 250 eV, allowing precise control over ion energy and bombardment on the growing film. This biasing technique enabled adjustments in film composition, grain size, and resistivity, with the deposition temperature held at 250 °C to optimize the superconducting characteristics of the films. The XPS and elastic backscattering spectrometry (EBS) analyses were used to assess the atomic ratios of Ta, C, and N2 in the films, revealing how variations in RF bias power affected stoichiometry throughout the film, see figure Fig. 8(e) (top). Their measurements demonstrated that ion energy during deposition significantly influenced the uniformity and consistency of the films' composition, both on the surface and through the bulk. Increased RF bias power was also associated with larger grain sizes, as confirmed by lateral grain size and surface roughness measurements, leading to improved crystallinity and reduced resistivity without significantly compromising surface smoothness—key for superconducting device integration.122
The group122 also examined the relationship between lateral grain size and RMS surface roughness in the films, as illustrated in Fig. 8(e) (bottom). Their findings indicated that the lateral grain size increases with processing conditions such as higher RF bias power, reflecting enhanced crystallinity and reduced grain boundary density, which contribute to improved electrical properties like lower resistivity. While the RMS surface roughness, shown in red, may increase slightly with grain size due to larger crystalline domains, this increase is modest. This suggests that the films maintain a relatively smooth surface, which is crucial for high-quality interfaces in applications like superconducting quantum devices. Thus, the ability to enhance crystallinity and conductivity without significantly increasing surface roughness is essential for practical device integration. The high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) images provide insights into the microstructure of TaCxN1−x films under varying RF bias power, as shown in Fig. 8(f). The film with 0 W RF bias exhibits a fine-grained structure and lower crystallinity, while the 20 W RF bias film shows larger, more defined grains, indicating enhanced grain growth and crystallinity. Cross-sectional images of the 20 W film reveal a uniform and dense structure with well-defined grain boundaries. Increasing the RF bias to 35 W results in even larger grains, suggesting enhanced columnar growth. These results highlight the critical impact of RF bias power on improving the films' crystallinity and grain growth, essential for their electrical and superconducting properties. The Tc of TaCxN1−x films prepared with 20 W RF bias across various thicknesses is shown in Fig. 8(g) (top). The Tc remains stable around 7 K, indicating that the superconducting properties are well-maintained regardless of thickness. The inset reveals a sharp drop in resistivity to zero for an 18 nm thick film, confirming its superconducting behavior and suggesting high film quality with minimal defects.122
The influence of RF bias power on Tc was also examined in 19 nm thick samples, as depicted in Fig. 8(g) (bottom). The groups findings revealed a significant increase in Tc with higher RF bias power. At low bias powers, the lack of measurable superconducting transitions above 2.4 K indicates insufficient superconducting properties, likely due to poorer crystallinity or higher impurity levels. However, as RF bias power rises, Tc approaches 7 K, highlighting the critical role of RF bias in enhancing superconducting properties through improved film quality, increased grain size, and reduced impurities. The enhanced ion energy from higher RF bias likely facilitates a more homogeneous and well-ordered film structure conducive to superconductivity.
To ensure the purity of the grown films, the authors32 conducted XPS and RBS measurements, revealing varying amounts of impurities, including amorphous carbon(a-C), AlF3, NbFx, and NbClx, were found in all samples, see Fig. 9(a) and (b). Films synthesized from TMA and NbF5 contained Al, C, F, Nb, and O, with concentrations varying by deposition temperature. XPS analysis showed a decrease in Al (6 to 2 atom%) and F (55 to 6 atom%) concentrations as the temperature increased from 125 °C to 350 °C, while C (20 to 44 atom%) and Nb (15 to 28 atom%) increased. Oxygen peaked at 27 atom% at 300 °C, then dropped to 20 atom% at 350 °C. RBS detected no oxygen at 150 °C or 350 °C, suggesting that the oxygen observed by XPS resulted from surface oxidation post-deposition. Both XPS and RBS showed similar trends for Al, C, F, and Nb concentrations, and adjusting XPS for surface oxides provided results comparable to RBS, with the corrected composition at 350 °C being 2.4 atom% Al, 61–63 atom% C, 8.3–8.6 atom% F, and 26–28 atom% Nb. Additionally, XPS analysis across the 125 °C to 350 °C temperature range indicated that niobium was primarily in the Nb–C bonding state, characteristic of niobium carbide, with fluorine attributed to the use of NbF5 as precursor. Despite variations in fluorine content, the stoichiometry of niobium carbide remained consistent, suggesting that fluorine did not significantly disrupt the formation of the desired carbide phase.32
![]() | ||
Fig. 9 NbC film composition measured by (a) XPS and (b) RBS vs. deposition temperature between 125 and 350 °C. XPS spectra were acquired following a 5–10 min Ar ion-milling step to remove surface contamination. Data from films grown with NbF5 and NbCl5 are represented by filled and open shapes, respectively. (c) The film growth rate determined by XRR (squares) and roughness determined by XRR (circles) and AFM (triangles) as a function of deposition temperature. (d) SQUID measurements of superconducting critical temperature Tc for films grown on Si(001) with the precursor sequence TMA + NbF5 (circles), NH3 + NbF5 + TMA (squares), and TMA + NbF5 + NH3 (triangles).32 Copyright 2011, ACS Publishing. (e) ToF-ERDA compositional analysis of MoCx films deposited at various temperatures (f) resistance of six MoCx samples as functions of temperature. The red and violet lines show measurements of two nominally similar 69 nm samples cleaved from the same larger chip. The brown line shows the measurement of a third sample cleaved from the same 69 nm chip, which was annealed at 500 °C before the cryogenic measurement. The inset shows the absolute sheet resistances of 12 nm and 18 nm films, deposited on non-conductive substrates, as a function of temperature up to 300 K. The orange line is from the same measurement as in the main panel.36 Copyright 2024, Wiley Online Library Publishing. |
The authors32 investigated the effect of deposition temperature on film growth rate and surface roughness using XRR and AFM (Fig. 9c). The growth rate, as determined by XRR, remained constant (4.5 Å per cycle) up to 175 °C, increased to 6.3 Å per cycle at 250 °C, and decreased to 3.8 Å per cycle at 350 °C. This nonmonotonic trend was attributed to possible temperature-dependent changes in surface species or active site density. Surface roughness, determined by XRR, stayed below 0.8 nm below 175 °C but increased significantly above 175 °C, from 1.3 nm at 200 °C to 5.9 nm at 300 °C. Due to XRR's limitations with Gaussian roughness assumptions, AFM was used for validation, showing roughness values of 0.4 nm at 150 °C, 5.2 nm at 250 °C, and 8.0 nm at 350 °C.32
The superconducting properties of films grown with NbF5 measured by SQUID are shown in Fig. 9(d). Only films deposited at temperatures of 350 °C or higher displayed a superconducting transition above 1.2 K, with the maximum transition temperature recorded at Tc = 1.8 K (represented by circles) for a 75 nm thick film grown at 350 °C using 200 cycles of TMA and NbF5 on Si(001). This Tc is notably lower than that of bulk NbC, which has a Tc of 12 K,130 largely due to a high level of impurities present in the films. However, the introduction of NH3 into the ALD process significantly improved the superconducting characteristics. When the sequence was modified to NH3 + NbF5 + TMA (squares), the Tc increased significantly to 2.5 K. Further enhancement of the Tc was achieved with the sequence TMA + NbF5 + NH3 (triangles), resulting in a Tc of 3.8 K. The enhanced transition temperatures in films grown with NH3 can be attributed to a reduced fluorine content from the reducing agent.32 These measurements indicate that the introduction and positioning of NH3 in the precursor sequence can effectively tune the superconducting properties of the films.
Compositional analysis of the MoCx films, as measured by Time-of-Flight Elastic Recoil Detection Analysis (ToF-ERDA), indicated that carbon content varied with deposition temperature, see Fig. 9(e). Lower deposition temperatures favored carbon incorporation from the precursor, while higher temperatures reduced carbon content due to enhanced desorption of volatile species and more efficient Mo–C bond formation. Chlorine contamination, a residual from the precursor, also decreased with increasing deposition temperature, indicating more complete reactions, while minimal oxygen presence suggested low oxidation levels, preserving the films' electrical and structural integrity. Cryogenic measurements of molybdenum carbide films of various thicknesses (12,18,37, and 69 nm) deposited at 275 °C revealed superconductivity as shown in Fig. 9(f). Two samples from a 69 nm film exhibited consistent Tc values of approximately 3.3 K, while a 37 nm sample showed Tc of about 3.2 K and an 18 nm film had Tc of 2.9 K.36 Annealing a third chip from the same 69 nm film at 500 °C under nitrogen increased Tc to 4.4 K, suggesting that annealing can enhance superconducting properties by improving micro-structure. However, attempts to anneal the 69 nm films at 650 °C resulted in peeling and they argued it as an indication for compromised adhesion or structural integrity at higher temperatures. For the 12 nm and 18 nm films on non-conductive substrates, the inset of the data of Fig. 9(f) showed that both exhibited increasing resistivity as the temperature decreased, with a RRR of less than 1, typical for disordered metals. The 12 nm film remained resistive down to 0.3 K, indicating it did not transition to a superconducting state within the measured range.36 These results highlight the influence of film thickness and annealing on superconducting properties, with thicker and annealed films demonstrating better superconductivity.
Moreover, various molybdenum carbide materials, prepared using different techniques aside from ALD, exhibit superconducting properties, with their Tc depending on their crystal structure and synthesis methods. The cubic δ-MoC0.681, synthesized from stoichiometric carbon and Mo powder under high pressure (6 GPa), features numerous disordered carbon vacancies and has a Tc of 12 K.119 In contrast, the hexagonal-layered η-Mo3C2, fabricated from powders pressed at 1700 °C under 6 GPa, shows a Tc of 8.5 K.120 Molybdenum carbide films, particularly in the α-Mo2C and β-Mo2C phases, demonstrate superconductivity with Tc values ranging from 5.1 to 8.9 K.121 Notably, the highest reported Tc for molybdenum carbide is 14.7 K, observed in a single-cubic δ-MoC1−x film, synthesized via arc melting solidification with a composition between MoC0.65 and MoC0.75.138 While molybdenum carbide thin films deposited by ALD exhibit lower Tc values, their superconducting properties are promising and can be finely tuned through controlled deposition and post-deposition treatments, potentially matching or even surpassing currently reported best values.
Proslier et al.146 demonstrated a successful synthesis of superconducting NbSi films with 1:
1 stoichiometry using ALD. The researchers used niobium pentafluoride (NbF5) and disilane (Si2H6) as precursors, conducting experiments at various temperatures (150–400 °C) to explore the effects on film growth, structure, and superconductivity. The cross-sectional SEM images of NbSi films deposited on silicon trench structures at 200 °C using 300 NbSi cycles revealed that the films are highly uniform, conformal, and pinhole-free, showing the effectiveness of the ALD process in achieving excellent coverage, even on complex 3D structures, see Fig. 10(a).146
![]() | ||
Fig. 10 (a) SEM image of a NbSi film grown on trenched Si(100) wafer at 200 °C using timing sequence 2-10-1-10 and 300 ALD cycles. (b) Composition of NbSi films measured by RBS and (c) binding energy of the Nb and Si peaks as a function of the growth temperature of films grown on Si(100) with 100 cycles using the same timing sequence. (d) Resistance versus temperature of a NbSi films grown at 200 °C on Si(100). The insert is an expanded view near the superconducting transition, indicated by a dashed line. (e) SQUID magnetometry measurements on NbSi films grown on Si(100) using the same timing sequence. The curves labeled “as grown” correspond to measurements done on as grown films, and the dashed lines correspond to measurement done after a postannealed films in Ar and the dotted lines in N2. The postannealing temperatures 400 or 600 °C are shown next to the corresponding curves.146 Copyright 2011, ACS Publishing. (f) SEM images of 3D structures, called stacks, in the left top view of the stack; in the right, the CuO film inside 3D substrate (stack) are shown. (g) X-ray diffraction patterns of CuO thin films grown on SiO2/Si substrate by using different number of deposition cycles indicated by labels. (h) Electrical resistivity of CuO film grown after 5000 ALD cycles, with the thickness of 94 nm. The inset shows the low-temperature region.35 Copyright 2020, MDPI Publishing. |
Proslier et al.146 utilized RBS measurements to assess the composition of the NbSi films, revealing that for all studied temperatures, the films consistently maintained an Nb:Si ratio of 1:
1 across the sample area with no detectable fluorine impurities, see Fig. 10(b). This uniform composition was observed even at deposition temperatures above 275 °C, where usually the growth shift towards CVD behavior. The researchers attributed this consistency to a stable growth mechanism involving silicon deposition during Si2H6 exposure and partial silicon etching during NbF5 exposure. In addition to RBS measurements, XPS analysis showed that the binding energies of the niobium and silicon peaks remained stable across different growth temperatures, see Fig. 10(c). This confirmed that the chemical composition and bonding were preserved throughout the deposition process, ensuring reliable film properties. The temperature dependence of the resistance between 2 and 50 K, measured on a NbSi film grown on a Si(100) substrate using 100 ALD cycles at 200 °C, is shown in Fig. 10(d). The graph exhibits a sharp decrease in resistance below the critical temperature Tc = 3.1 K, indicating a superconducting transition. This marked the first instance of a superconducting NbSi film with a 1
:
1 stoichiometry, a significant milestone in the field.146 Fig. 10(e) represents the sample magnetization M, as measured by SQUID, under an external magnetic field of 10 mG applied perpendicular to the sample as a function of the temperature. Fig. 10 (e:top) shows SQUID measurements of a multilayer structure grown at 200 °C, indicating a broad superconducting transition below 3.1 K, consistent with the maximum Tc measured by resistivity. They attributed the broad Tc distribution to the possible compositional inhomogeneities, although RBS indicated a uniform 1
:
1 NbSi ratio. Hydrogen trapped in the films may also cause broad transitions, as hydrogen is known to affect superconductivity. In contrast, a sharper transition was observed for NbSi films grown at 400 °C in the CVD regime. Similar results were seen in films grown at 225 °C and 275 °C, see Fig. 10 (e: middle and bottom). Annealing the samples at 400 °C in Ar or N2 atmospheres significantly sharpened the transitions, suggesting hydrogen diffusion out of the films improved superconducting homogeneity.146 NbSi films grown at 200 °C and capped with ALD Al2O3 were further annealed at 600 °C. Post-treatment, XPS detected oxygen in the films, and SQUID measurements showed a suppressed Tc down to 2 K, see Fig. 10 (e: top). Films grown at 225 °C and 275 °C became insulating and changed color after the same treatment, possibly due to nitrogen incorporation forming a silicon/niobium nitride alloy.146
The grazing incidence X-ray diffraction (GIXRD) patterns of CuO thin films grown at 140 °C using varying numbers of ALD cycles is shown in Fig. 10(g). The XRD data reveal that the films crystallized predominantly in the CuO phase, as indicated by the diffraction peaks corresponding to CuO. The intensity of the peaks increases with the number of deposition cycles, reflecting the growth in film thickness and improved crystallinity as the deposition progresses.35 The influence of the number of cycles on the morphology of CuO films grown on both 2D and 3D structures is illustrated in Fig. 10f). Notably, even with a large number of cycles, the films exhibit significant coverage on three-dimensional substrates with an aspect ratio of 1:
20. Unlike planar Si(111) substrates, these 3D substrates have etched vertical channels perpendicular to the surface. Achieving adequate coverage is essential for effectively coating arbitrarily shaped nanostructures with functional films, particularly for applications in quantum-computer-related technologies. Electrical resistivity measurements were carried out using the two-probe method at low temperatures ranging from 1.8 K to 300 K, see Fig. 10(h). At room temperature, the CuO films demonstrated a resistivity of approximately 0.55 Ω cm, with a slight temperature dependence in the 10–300 K range. However, a significant resistivity drop was observed at around 4 K, where the resistivity fell by 50%, reaching about 0.27 Ω cm at 2 K. This abrupt change may suggest the emergence of low-temperature superconductivity in the CuO films. However, they remark the need of further investigation to confirm this phenomenon and rule out other potential causes, such as film shrinkage or thermal expansion mismatches.35
The study42 utilized X-ray fluorescence (XRF) to measure the cation percentage of strontium (Sr) in the LSCO films as a function of the Sr precursor pulse ratio during ALD, see Fig. 11(a). The results indicated that the actual Sr content in the films did not increase linearly with the pulse ratio and was lower than expected at higher pulse percentages, suggesting non-ideal uptake or saturation effects. This finding highlights the complexity of achieving precise dopant control in ALD processes and emphasized the need for careful calibration to obtain the desired stoichiometry in complex oxide films. To verify the epitaxial growth and orientation of the LSCO films, cross-sectional STEM was employed, see Fig. 11(b). The analysis confirmed a high degree of epitaxial alignment between the LSCO films and the LAO substrate, with the crystalline planes of the film aligning well with those of the substrate. This structural coherence is critical for the superconducting properties observed in the study. Furthermore, the specific resistivity of the LSCO films was examined as a function of Sr content, see Fig. 11(c). It was found that the resistivity is highly dependent on the Sr doping level. Films with lower Sr concentrations exhibited insulating behavior with higher resistivity, while an increase in Sr content to ≈5–6% resulted in a decrease in resistivity, indicating a transition to metallic behavior. Notably, near the composition La1.8Sr0.15CuO4−y, the resistivity dropped sharply at low temperatures, signaling the onset of superconductivity. In addition, the specific resistivity of a 40 nm La1.81Sr0.19CuO4−y thin film was measured, revealing metallic behavior at higher temperatures, with resistivity decreasing as temperature decreased, see Fig. 11(d). A sharp transition occurred around 20 K, where the resistivity dropped to near zero, indicating the onset of superconductivity with a Tc of approximately 20 K. However, this Tc is lower than that observed in bulk cuprate systems with x = 0.15, which exhibit higher Tc values around 40 K.151
![]() | ||
Fig. 11 (a) Cation percentage of Sr in the deposited film measured by X-ray fluorescence as a function of the cation percentage of strontium pulses in the process. The orange dotted line represents a theoretically linear response, while the black line corresponds to the observed response. (b) Cross-section HAADF-STEM image of La1.8Sr0.15CuO4−y on a LaAlO3(100) substrate. (c) Specific resistivity as a function of Sr content in the films. All data was collected for a sample annealed at 700 °C for 15 minutes in air. (d), Specific resistivity as a function of temperature for a 40 nm La1.81Sr0.19CuO4−y thin film deposited on LAO(100). The dotted blue line indicates the temperature where the resistivity starts to drop.42 Copyright 2018, Royal Society of Chemistry Publishing. |
While ALD LSCO thin films have demonstrated superconducting properties with a maximum Tc of 20 K, this relatively low Tc presents a significant barrier to widespread practical applications, especially when compared to high-Tc superconductors such as YBCO (Tc ≈ 93 K)152 and iron-based superconductors Tc ≈ 55 K.153 The low Tc limits the efficiency and applicability of LSCO films in technologies where higher operating temperatures are desirable. In addition to this limitation, challenges such as achieving optimal stoichiometry and phase purity during and after deposition, as well as the inherent material properties, further hinder performance at higher temperatures and restrict broader applications. To address these challenges, strategies such as optimizing ALD process parameters (e.g., adjusting precursor selection and deposition temperature), introducing chemical dopants (e.g., Ba, Ca, Li, and Mg154,155) to modify the electronic structure, and applying strain engineering through substrate selection can help improve Tc. Additionally, constructing layered heterostructures, implementing post-deposition annealing to control oxygen content, and exploring nanostructuring techniques can further enhance the Tc, providing potential pathways to improve material performance and expand its practical applications. These strategies are not only applicable to LSCO but also hold potential for other superconducting complex oxides that can be synthesized via ALD.
Furthermore, ALD particularly through the use of supercycle approaches, has enabled the fabrication of complex, multicomponent superconductors such as LSCO and NbTiN. These supercycling techniques facilitate precise control over composition and thickness, making them especially well-suited for engineering layered heterostructures or nanolaminates with tailored superconducting properties. For instance, non-superconducting AlN layers deposited in vacuum by PEALD have been used as a buffer or interface layer for the epitaxial growth of NbTiN on silicon substrates, improving crystallinity and performance.40 Moreover, ALD has been employed to create protective capping layers—offering conformal coverage and oxidation resistance for air-sensitive superconducting materials.156 The capability of ALD to deposit uniform, pinhole-free layers on complex 3D topographies makes it a uniquely advantageous technique for constructing superconducting heterostructures, interface engineering, and protective layering, often beyond the capabilities of traditional methods such as sputtering or PLD.
Table 3 summarizes various ALD processes for producing superconducting thin films, detailing various materials, precursors, substrates, deposition temperatures, and resulting Tc. It showcases a diverse range of materials, including TiN, NbTiN, and complex oxides like La2−xSrxCuO4−y. Deposition conditions vary significantly, with temperatures ranging from 80 °C to 500 °C and GPC values from 0.1 to 4.5 Å. The highest Tc reported is 20 K for La2−xSrxCuO4−y. The table also highlights the impact of different precursors and substrates on the quality and properties of the deposited films, as well as the need for specific crystallization conditions to attain optimal superconducting performance.
Material | Atomic layer deposition | Cryst. req. | Tc (K) | Ref. | ||||
---|---|---|---|---|---|---|---|---|
Precursors | Substrate | Dep. temp. /(°C) | GPC/(Å) | |||||
Precursor A | Precursor B | |||||||
Si = silicon, BSG = borosilicate glass, QZ = quartz, FS = fused silica, Sa = sapphire. SG = soda glass, Nb = niobium, As-dep. = As deposited, vac. = vacuum. | ||||||||
TiN | TiCl4 | NH3 | Si | 450 | 0.24 | As-dep. | 3.4 | 5 |
TiN | TiCl4 | NH3 | Si | 350–450 | 0.23–0.33 | As-dep. | 3.8 | 26 |
TiN | TiCl4 | N2/H2-plasma | Si | 400 | 0.45 | As-dep. | 3.6 | 27 |
TiN | TDMAT | N2/H2-plasma | Si | 350 | As-dep. | 2.09 | 157 | |
TiN | TDMAT | N2/Ar-plasma | Si | 300 | 0.65 | As-dep. | 4.35 | 77 |
TiN | TDMAT | N2-plasma | Si | 270 | 0.9 | As-dep. | 4.62 | 38 |
NbN | NbCl5 | NH3 | SG | 500 | — | As-dep. | ≈10 | 30 |
NbN | NbCl5 | NH3 + Zn | SG | 450 | 0.2 | As-dep. | 5 | 31 |
NbN | TBTDEN | Ar-plasma | Si | 250–300 | 0.51–0.62 | As-dep. | 10.9 | 99 |
NbN | TBTDEN | H2-plasma | Si | 350 | 0.46 | As-dep. | 13.8 | 101 |
NbN | TBTDEN | H2/Ar-plasma | Si | 250 | 0.12 | As-dep. | 13.5 | 103 |
NbN | TBTDEN | H2/N2-plasma | Si | 100–300 | 0.35–0.76 | As-dep. | 13.7 | 104 |
NbN | TBTDEN | H2/NH3-plasma | Si | 350 | 0.55 ± 0.02 | As-dep. | 12.3 | 105 |
NbN | TBTDEN | H2/NH3-plasma | Si | 240–400 | 0.1–0.25 | 1000-Ar | 13.8 | 39 |
NbTiN | NbF5,TiCl4 | NH3 + Zn | Sa | 450 | As-dep. | 13.4 | 33 | |
NbTiN | NbCl5,TiCl4 | NH3 | Nb, Sa | 450 | 0.24 ± 0.03 | 850-vac. | 15.5 | 34 |
NbTiN | TBTDEN, TDMAT | H2/N2-plasma | Si | 250 | 0.62 | 1000-vac. | 15.9 | 40 |
NbC | NbF5 | TMA | Si, FS | 350 | 3.75 | As-dep. | 1.8 | 32 |
NbC | NbF5 | TMA + NH3 | Si, FS | 350 | 3.75 | As-dep. | 3.8 | 32 |
NbSi | NbF5 | Si2H6,SiH4 | Si, Sa | 150–400 | 4.5 | As-dep. | 3.1 | 146 |
CuO | Cu(dmap)2 | O3 | Si | 112–165 | 0.16–0.39 | As-dep. | 4 | 35 |
MoN | MoCl5 | NH3 | BSG | 450 | 0.31 ± 0.02 | As-dep. | 11.3 ± 0.05 | 33 |
MoCx | MoCl5 | (Me3Ge)2DHP | Si,TiN, HfO2 | 200–300 | 0.36–0.56 | As-dep. | 2.9–4.4 | 36 |
MoC0.4_5N_0.8 | (tBuN)2(NMe2)2Mo | H2/N2-plasma | Si | 80–300 | 0.36–0.56 | As-dep | 8.8 | 41 |
TaCxN1−x | TBTDMT | Ar/N2-plasma | Si | 250 | 0.35–0.55 | 7 | 122 | |
La2−xSrxCuO4−y | La(thd)3, Cu(acac)2 | O3 | Si | 250 | 0.35–0.55 | 700-air | 20 | 42 |
Fig. 12 summarize the highest reported Tc of various thin films deposited by ALD. The different materials deposited by ALD exhibit a wide range of Tc values, from approximately 1.8 K to 20 K. The highest Tc is observed for La2−xSrxCuO4−y films, while other materials like NbN and NbTiN also show relatively high Tc values. The diversity in Tc values reflects the influence of material choice and deposition conditions on the superconducting properties of thin films produced via ALD.
![]() | ||
Fig. 12 The Tc of different superconducting thin films deposited by ALD. The Tc are the highest values reported for each processing conditions and the processing conditions are summarized in Table 3. |
Recent study by Kalboussi et al.164 have explored the role of ALD in mitigating multipacting by optimizing the properties of superconducting thin TiN coatings. They explored how the thickness of ALD-grown TiN films influences the total electron emission yield (TEEY), chemical composition, and resistivity. To test their approach for mitigating multipacting, they initially deposited a 10 nm layer of Al2O3 inside a 1.3 GHz cavity, followed by post-annealing at 650 °C for 10 hours in high vacuum conditions (approximately 10−6 mbar). This procedure was intended to reduce two-level system dissipations in 3D superconducting niobium resonators.163 The experiment was repeated twice on the same cavity, with surface resets achieved through chemical etching between Al2O3 depositions and annealing cycles. Both RF tests conducted after deposition and post-annealing showed a strong, reproducible multipacting barrier between 15 and 18 MV m−1, which could not be processed further, preventing higher accelerating fields from being reached, see Fig. 13. A baseline RF test performed before the ALD deposition achieved a maximum accelerating field (Eacc) of 32 MV m−1.164
![]() | ||
Fig. 13 RF tests at 1.45 K of an ALD coated 1.3 GHz Nb cavity with different surface treatments. The green curve, baseline measurement, blue RF tests after 10 nm coatings of Al2O3 and post-annealed at 650 °C in high vacuum, and red with additonal 1.6 nm TiN film + annealing113,164 Copyright 2024, AIP Publishing. |
The significant multipacting barrier observed was attributed to the three orders of magnitude higher electron emission from the Al2O3 surface compared to the bare niobium surface, with a TEEYMAX of 4.6 for Al2O3 compared to 2.3 for niobium. To address this issue, they applied two different TiN film thicknesses 5 nm and 1.6 nm on top of a 10 nm Al2O3 layer. The RF tests conducted after applying the 5 nm TiN coating revealed a significant degradation in the quality factors (Q0), with values dropping by over two orders of magnitude to 108, and a corresponding increase in surface resistance to 2200 nΩ.164 Subsequent RF testing with a thinner 1.6 nm TiN coating showed a substantial improvement. The quality factor increased to the range of 1010, see Fig. 13. Additionally, the surface resistance decreased from 2200 nΩ to 10.8 nΩ at low temperatures upon reducing the TiN thickness. Notably, the multipacting barrier at 18 MV m−1 disappeared, enabling the accelerating gradient to extend from 18 MV m−1 to 35 MV m−1, effectively restoring the performance of the cavity to its baseline levels achieved with bare niobium.
SNSPDs are typically fabricated as narrow nanowires, ranging from 20 nm to 150 nm in width, using ultrathin superconducting films between 3 nm and 10 nm thick. Two main classes of materials are used in their construction: polycrystalline nitride superconductors, like NbN171 and NbTiN,173 known for their high critical temperatures and fast response times, and amorphous alloy superconductors, such as WSi,166 MoSi,174 and MoGe,175 valued for their high internal efficiencies and uniform structure. The choice of material depends on specific application needs, with polycrystalline nitrides offering higher critical currents and faster detection capabilities, while amorphous alloys provide superior photon detection efficiency and are ideal for large-area detector arrays.
In their paper, Cheng et al.102 present a detailed study on the fabrication and characterization of superconducting SNSPDs using PEALD NbN films. The fabricated detectors demonstrate broad saturated plateaus in the efficiency curves that are comparable with amorphous detectors, while simultaneously maintaining high switching currents. The authors fabricate 450 SNSPDs on each NbN chip, with nanowires of 50 nm, 75 nm, and 100 nm widths. These nanowires are patterned into circular shapes with a 15 μm diameter for the active detection area, surrounded by floating nanowires for proximity effect correction during electron-beam exposure, forming a larger 25 μm diameter circle, see Fig. 14(a) and (b). SEM reveals that the 50 nm-width nanowires exhibit exceptional uniformity, with less than 5 nm variation across the detection area, see Fig. 14(c). TEM provides cross-sectional images showing a nanowire thickness of 9.5 nm, including a 2 nm native oxide layer, further emphasizing the precision and quality of the 140-cycle NbN films used in fabrication, see Fig. 14(d). Fig. 14(e) presents a compelling plot of normalized photon counts as a function of the relative bias current (Ibias/ISW) for SNSPDs with varying widths (100 nm, 75 nm, and 50 nm). The results showcase the intrinsic switching current ISW for each detector type, illustrating the relationship between bias current and photon detection efficiency. The broad saturation plateaus observed in the photon counting curves indicate high internal efficiencies across the different designs, which is a crucial characteristic for the practical application of SNSPDs. The green dashed lines denoted the saturation current (Isat), reinforcing the importance of operating above this threshold to achieve optimal performance. As can be seen in Fig. 14(e) the detectors fabricated from the 140-cycle NbN films (with a thickness of 9.5 nm) has superior performance compared to the 130-cycle films. Notably, the 100 nm-width detectors from the 140-cycle film show a well-saturated efficiency with ISW values exceeding 20 μA, showcasing their potential for faster response times and reduced timing jitter. Fig. 14(f) illustrates the high homogeneity and exceptional uniformity of the NbN films through an ISW histogram for SNSPDs with different designs. The histogram shows a tightly clustered distribution of ISW, with only a few nanowires exhibiting reduced ISW values, likely due to fabrication constrictions. To estimate the detector throughput yield, the authors compare ISW values with those of reference detectors, as shown in Fig. 14(e), defining the Isat as the point where 90% of the maximum counting rates are reached, marked by green dashed lines in Fig. 14(e) and gray dashed lines in Fig. 14(f). The throughput yield is then calculated as the proportion of detectors with ISW values greater than Isat. The results reveal impressive throughput yields exceeding 93% for all detector types, with the 50 nm-width detectors from the 140-cycle NbN film achieving a remarkable 100% yield. This high yield is attributed to the broader saturation plateau and enhanced uniformity of the thicker 140-cycle film compared to the 130-cycle film.102 Red dashed arrows highlight the throughput yields, while black solid arrows indicate the ISW values of reference detectors, providing context for assessing the performance of the newly fabricated devices against established standards. This significant achievement underscores the effectiveness of the PEALD technique in producing high-quality SNSPDs with consistent performance.102
![]() | ||
Fig. 14 High quality SNSPD from NbN films.102 (a) Optical micrograph of the fabricated SNSPD array. Scale bar: 200 μm. (b) Close-up view of the nanowire detection area of an SNSPD. Scale bar: 10 μm. (c) Close-up SEM image of an SNSPD with 50 nm width nanowires. The pitch of the nanowires is kept three times the width. Scale bar: 100 nm. (d) TEM image taken at the edge of the nanowire cross section patterned from the 140-cycle NbN film. (e) Normalized photon counts vs. the relative bias current (Ibias/ISW) for SNSPDs of varying width and thickness. The saturation current Isat is defined as the current where 90% of the maximum counting rate is reached. (f) Histogram of Ibias/ISW measured from SNSPDs of varying width and thickness. The gray dashed lines represent Isat for each type of devices, and the calculated throughput yields are shown by the red dashed arrows. Ibias/ISW of the reference detectors shown in plot (e) are marked using the black solid arrows102 Copyright 2019, AIP Publishing. Microwave characterization of high quality factor resonators from TiN films.38 (g) Optical micrograph of a typical resonator (t = 49.8 nm) used in this work, which can be described by the circuit model shown on the right. The transmission was measured from port 1 to port 2. (h) Internal quality factors of all resonators in this study, grouped by film thickness. For a single film thickness, each resonator's internal quality factor increases with power, visualized as a bar. The bottom of each bar corresponds to single photon Qi, whereas the top corresponds to the high power saturated Qi or the Qi just below bifurcation (if bifurcation was observed). The average of these resonators ranges from 1.1 × 105 (t = 109 nm) to 9.4 × 105 (t = 4.2 nm). (i) Optical micrographs of high impedance TiN (false-colored yellow) microwave resonators with inductor wire width w = 2 μm (top) and w = 100 nm (bottom). Note the different scale bars. (j) Internal quality factor (top) at 20 mK and characteristic impedance (bottom) of the resonator designs shown in (a) as a function of w. Resonators are fabricated on TiN films t = 8.9 nm thick (red) and t = 14.2 nm thick (blue).38 Copyright 2019, AIP Publishing. |
Similar results have been reported for ALD-grown NbN107,176,177 and TiN178 detectors. The quest for higher-quality thin films, characterized by fewer defects, greater uniformity, and enhanced homogeneity, remains a fundamental goal in the preparation of superconducting nanowires. Furthermore, ALD holds potential for growing amorphous alloy superconductors, including WSi, MoSi, and MoGe, which could further enhance the fabrication of SNSPDs.
Most resonators have been made using low-Tc superconducting materials like Nb, NbTi, NbN, NbTiN and TiN deposited through sputtering techniques.188–191 To achieve uniform wafer-scale thin films, researchers now use ALD, which improves device performance by reducing microwave frequency losses.27,38,99 ALD's precision enables higher quality factors, essential for sensitive applications like superconducting qubits and microwave kinetic inductance detectors (MKIDs), where low-loss resonators ensure better coherence times and signal stability.38,99
Shearrow et al.38 reported high KI microwave resonators fabricated from 9 nm to 110 nm thick TiN films that are grown via ALD. Through a combination of the deposition method, resonator designs, and fabrication procedure, they achieve high internal quality factors (Qi) exceeding one million at single photon powers for resonators on thicker TiN films. They designed a series of lumped element microwave resonators to verify that their TiN films are low-loss at microwave frequencies. Each film was patterned into resonators that incorporated a meandering inductor and an explicit capacitor to ground, as shown in Fig. 14(g). Each chip contained between eight and ten resonators, and these resonators were separated from a microwave feedline. The gap and pin width of the feedline was adjusted to match the 50 Ω impedance of the printed circuit board (PCB) and amplifier chain. All resonators on a single chip were designed with equal capacitance, meaning that the resonance frequency (f0) was varied by adjusting the length of the inductor, while keeping its width constant at 3 μm.38
The authors studied resonators with TiN film thicknesses ranging from 8.9 nm to 109 nm, with their Tc detailed in Section 4. They plotted the internal quality factor (Qi) for four chips with different film thicknesses, as shown in Fig. 14(h). All resonators had Qi values exceeding 1.1 × 105, with the highest values observed for the t = 49.8 nm sample, where seven of ten resonators had Qi(nph = 1) > 105. Most resonators, except those on the thinnest film (t = 8.9 nm), showed increasing Qi with power, suggesting Qi is limited by two-level systems at 20 mK and nph = 1. For the thinnest film, Qi was limited by suppressed superconductivity, but it still achieved Qi ≈ 105. To optimize the resonators for cavity QED experiments, the authors increased the characteristic impedance (Z) to enhance the coupling strength (g). They achieved this by using a meandering wire structure instead of a capacitor, relying on stray capacitance, as shown in Fig. 14(i). By reducing the wire width from 2 m to 75 nm, they significantly increased the impedance, improving the resonator's quantum performance. Fig. 14(j) shows the impedance and Qi as a function of wire width for t = 8.9 nm (red) and 14.2 nm (blue). The highest impedance, Z = 28 kΩ, was achieved for the thinnest film and narrowest wire, boosting the coupling strength by 24 times compared to a standard 50 Ω resonator. Even the thickest film and widest wire achieved Z = 1.76 kΩ, much higher than conventional resonators. Notably, Qi remained stable across all wire widths, indicating the high impedance did not compromise resonator quality.
Grigoras et al.3 developed superconducting through-silicon vias (TSVs) using a multi-step process. The process starts by depositing a tantalum electrode layer on a silicon wafer, followed by etching to form a hollow via with metallized walls. A metal membrane covers the via, and the backside is also metallized. TiN is applied to the TSV's inner walls using ALD, ensuring uniform coating to reduce microwave losses. The TSV structure includes an electrode layer, hollow via with metallized walls, a metal membrane covering the via, and a metallized back side. Fig. 15(a)–(c) displays SEM images and cross-sections showing the structure and integration of the TSV into the device. Fig. 15(d)–(f) show various coplanar waveguide (CPW) resonator layouts incorporating TSVs, demonstrating how TSV design impacts device performance.
![]() | ||
Fig. 15 Superconducting through-silicon vias: (a) and (b) false-color scanning electron microscope images of a TSV. (c) Schematic cross section of TSV structure (not to scale), with color-coding as in (a) and (b). (d)–(f) Optical micrographs showing layouts of CPW test resonators with different densities and roles of TSVs (green circles). (d) Sparse via stitching. (e) Dense via stitching. (f) TSV-terminated resonators. Quality factor measurements for via-stitched TSV chips. (g) Measured Qi at 10 mK as a function of photon number nph circulating in the resonator for resonators with sparse stitch TSVs (black circles), dense stitch TSVs (green squares), planar reference resonators with the same Ta-based electrode layer (diamonds), and planar reference resonators with an Nb electrode layer (triangles). (h)–(k) Histogram of measured internal quality factors at low photon numbers for all measured resonators of the types shown in panel (g). (l) Qi vs. nph for TSV-terminated resonators. (m) Inverse resonance frequencies of several chips with TSV-terminated resonators (crosses and stars), resonator with sparse via stitching (circles), and reference Nb resonators (triangles) versus length of the coplanar part. Crosses (stars) indicate a TSV termination with three (four) ground vias around the terminating TSV. Solid lines indicate fits to (1) with parameters given in the legend.3 Copyright 2022, IEEE Publishing. (n) Sketch of a crosssection of a TiN Josephson Junction. (o) Left is a current–voltage plot of an ALD Josephson Junction. The measured tunneling current is 100 μA. The gap voltage is observed at 320 μV. Right is a plot of the above-gap region with the resistive component subtracted, showing the possibility of a second gap (circled) near 900 μV.5 Copyright 2020, Springer Publishing. |
Grigoras et al. found that resonator chips with sparse TSV stitching achieved internal quality factors above 106 at single-photon powers. Fig. 15(g)–(i) show power dependence and Qi measurements for these resonators, which perform similarly to planar reference resonators. The results indicate that transmon qubits on the same electrode layer can achieve state-of-the-art coherence without degrading qubit performance, even with TSVs. By comparing the best TSV chips to the best reference chips, which show similar performance, they affirm their findings. However, they acknowledge that certain uniformity and yield issues remain unresolved. Fig. 15(h) shows a small fraction of outlier resonators with anomalously low quality factors, which are relatively independent of power. These outliers are occasionally found in both the Ta- and Nb-based planar reference devices. Furthermore, resonators near the edges of the 150 mm wafers demonstrate Qi values below 106, even for the sparse TSV design. The study also reports a decline in Qi for chips with dense TSVs and TSV-terminated resonators, which showed internal quality factors ranging from 104 to 2 × 105, see Fig. 15(l). These resonators exhibited asymmetrical line shapes at lower photon numbers, linked to weak superconducting spots in the ALD TiN, causing Qi to decrease as current approached the critical level.
Despite these challenges, the best-performing TSV-terminated resonators matched the performance of TSV-interrupted devices. Additionally, the resonance frequencies were consistent with other designs after accounting for an added CPW-equivalent length of approximately 650 μm, see Fig. 15(m). The measurements aligned well with theoretical predictions, showing only minor deviations. Furthermore, the tantalum-based electrode layer exhibited low kinetic inductance, demonstrating its compatibility with niobium-based superconducting qubits.
Josephson junctions can be fabricated using several deposition methods, including sputtering,201 electron beam lithography,202,203 in situ combined magnetron sputtering and ALD,204,205 and more recently, standalone ALD.5 ALD, in particular, enables the production of highly uniform and defect-free thin films at the wafer level, which are critical for the effective operation of Josephson junctions.
The fabrication of Josephson junctions from ALD grown trilayer of TiN/Al2O3/TiN at 450 °C with thicknesses of 1500 Å/10 Å/500 Å reported by Jhabvala et al.5 The base electrode and junction were deposited in a single run, followed by photolithographic patterning and inductively coupled plasma etching. Fig. 15(n) illustrates the structure of a completed TiN Josephson junction, showing a cross-sectional sketch of the junction. The Josephson junctions were tested at cryogenic temperatures using an adiabatic demagnetization refrigeration system with a base temperature of 100 mK. Their TiN's superconducting transition temperature was 3.4 K. For a 2.5 μm square Josephson junction, they measured a critical current (Ic) of 100 μA, yielding a calculated critical current density of approximately 500 A cm−2, see Fig. 15 (o-left). The measured gap voltage was 320 μV, lower than the expected 900 μV based on BCS theory, see Fig. 15 (o-right). This led the authors to hypothesize the existence of multiple superconducting transitions in the TiN films.5
ALD plays a crucial role in coating SIS structures because of its ability to deliver uniform deposition on intricate geometries. Unlike other deposition techniques, ALD is a self-limiting process that allows precise control of atomic-level thickness without requiring a direct line of sight to the substrate. This makes it particularly well-suited for coating nanostructured surfaces, despite its slower deposition rates. Recent progress has showcased the successful deposition of insulating layers via ALD in 1.3 GHz cavities.8,156,163,164 Although ALD encounters difficulties in producing metallic superconductors, there has been notable advancement with materials like NbN, NbTiN, MoN, and TiN on planar samples. Kalboussi et al.113,163,164 have already fabricated SIS structures using ALD, and ongoing studies are focused on improving the RF performance of SIS-coated cavities. Beyond superconducting alloys, ALD's precision and capabilities extend to other applications, such as reducing secondary electron yield, creating diffusion barriers, developing dopant sources, and enhancing the adhesion and stability of coatings on copper. Moreover, ALD can be used to engineer intermediate layers that accommodate differences in thermal expansion, further boosting the performance of SRF cavities.210
As research in ALD continues to advance, the technology has the potential to enable the integration of superconducting thin films into compact, high-performance devices. The development of new superconducting materials with higher critical temperatures, combined with ALDs precision, can lead to breakthroughs in fields like quantum computing, where ultra-sensitive devices like qubits rely on the stability and uniformity that ALD can provide. Additionally, the scalability of ALD offers opportunities for large-area deposition, essential for commercializing superconducting technologies in energy systems and medical applications, such as in superconducting magnets for MRI machines or advanced power grids.
Moreover, with further refinement in ALD precursor chemistry, especially for complex superconductors, and the integration of hybrid techniques, ALD is set to expand the range of materials and devices it can be used for. The continued evolution of ALD will play a pivotal role in the next generation of superconducting materials, potentially driving innovations that can lead to more energy-efficient electronics, faster computational devices, and more sensitive magnetic sensors.
Another important avenue for future research on ALD-grown superconducting thin films is the need for a thorough investigation of their superconducting parameters beyond the transition temperature. While Tc is well-documented, other critical properties such as the critical magnetic field (Hc), superconducting gap Δ, surface resistance, London penetration depth, and coherence length remain largely unexplored for ALD films. These parameters are vital for assessing the full potential of ALD-deposited films in practical applications. The review by Anne-Marie Valente-Feliciano6 offers a comprehensive analysis of these superconducting parameters for films grown via other deposition methods, demonstrating the detailed characterization available for non-ALD techniques. Conducting similar studies for ALD-grown films would bridge this knowledge gap, enabling direct comparisons between ALD and other deposition methods. A systematic exploration of these parameters, including their dependence on film thickness, and deposition conditions, would offer valuable insights into optimizing ALD for high-performance superconducting materials. Addressing this gap will enhance the understanding of ALD-grown films and expand their potential applications in advanced superconducting technologies.
Furthermore, strain engineering has proven to be a powerful tool for enhancing the superconducting properties of thin films, especially in materials deposited by techniques such as PLD,218 HPCVD,219 and CSD.220 By inducing strain through lattice mismatch between the substrate and the thin film, it is possible to manipulate key superconducting parameters like Tc, Hc and Jc. Despite its success in other deposition methods, strain engineering has yet to be explored extensively in ALD-grown superconducting films. Given ALD's remarkable ability to deposit highly uniform, conformal, and precise layers, integrating strain into ALD processes, as already shown for non-superconductive materials,221–223 could provide a new path for tuning superconducting properties. Investigating parameters such as substrate selection, deposition temperature, and post-deposition annealing could help tailor the lattice strain, leading to improvements in superconductivity.
Despite its numerous advantages, several challenges remain that need to be addressed to fully realize the capabilities of ALD in this field. The complexity of depositing high-temperature superconductors, which often have intricate crystal structures, requires further optimization of both ALD processes and precursor chemistry. Similarly, ensuring high-quality interfaces between superconducting films and substrates is critical for preserving superconducting properties such as Tc and low electrical resistance. Furthermore, while ALD is inherently scalable, maintaining the quality of superconducting films over large areas and within increasingly complex device architectures remains a significant technical challenge.
Looking forward, addressing these challenges will require continued innovation in precursor development, the integration of advanced in situ characterization techniques for real-time monitoring, and exploration of hybrid deposition methods to enhance deposition rates and film properties. The development of low-temperature ALD processes, in particular, will expand the range of substrates and applications for these films, potentially transforming industries that rely on superconducting technology.
In conclusion, while ALD has already demonstrated its potential to significantly advance the field of superconducting thin films, further research and technological innovations will be essential to overcome existing limitations. As these challenges are addressed, ALD is poised to play an increasingly pivotal role in the development of future superconducting materials, enabling breakthroughs in quantum technologies, energy-efficient systems, and next-generation electronics.
This journal is © The Royal Society of Chemistry 2025 |